您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 计算机体系结构试题及答案

  2. 第一章 计算机体系结构的基本概念 1.1 引论 1.2 计算机体系结构的概念 1.2.1 计算机系统中的层次概念 1.2.2 计算机体系结构 1.2.3 计算机组成和计算机实现技术 1.3 计算机体系结构的发展 1.3.1 存储程序计算机体系结构及其发展 1.3.2 计算机的分代和分型 1.3.3 应用需求的发展 1.3.4 计算机实现技术的发展 1.3. 5 体系结构的生命周期 1.4 计算机体系结构中并行性的发展 1.4.1并行性概念 1.4.2 提高并行性的技术途径 1.5 定量分析技术
  3. 所属分类:网络基础

    • 发布日期:2009-11-18
    • 文件大小:46080
    • 提供者:alifensss
  1. 流水线指令及RISC.doc

  2. 流水线中的冒险 要点:后一条指令要用到前一条指令。 在典型的计算机程序中经常会遇到这样的情形,即一条指令的结果被用做下一条指令的操作数. .......
  3. 所属分类:专业指导

    • 发布日期:2010-05-05
    • 文件大小:69632
    • 提供者:songfuran
  1. 流水线指令及RISC

  2. ARM流水线指令及RISC流水线指令及RISC流水线指令及RISC流水线指令及RISC流水线指令及RISC流水线指令及RISC流水线指令及RISC
  3. 所属分类:硬件开发

    • 发布日期:2010-06-26
    • 文件大小:69632
    • 提供者:a348290889
  1. 流水线指令及RISC

  2. 流水线指令及RISC 嵌入式开发重要资料 更多资源,请登录我的博客:http://blog.csdn.net/baidu_man2010 如需real 6410 嵌入式ARM11开发板请登录My_Shop:http://real-arm.taobao.com/
  3. 所属分类:硬件开发

    • 发布日期:2010-10-31
    • 文件大小:784384
    • 提供者:baidu_man2010
  1. 计算机组成原理复习题

  2. 大学本科计算机专业的组成原理复习题 名词解释:异步控制方式、向量地址、多重中断、CMDR、总线判优、系统的并行性、进位链、间接寻址、微操作命令和微操作、快速缓冲存储器、基址寻址、流水线中的多发技术、指令字长、周期窃取、双重分组跳跃进位、硬件向量法、总线、指令流水、寻址方式、微程序控制、RISC、存储器带宽、中断隐指令及功能、机器字长、时钟周期、向量地址、系统总线、机器指令、超流水线、超标量 填空题 1.在DMA方式中,CPU和DMA控制器通常采用三种方法来分时使用主存,它们是 A 、 B和 C
  3. 所属分类:C

    • 发布日期:2010-12-21
    • 文件大小:56320
    • 提供者:a1137296786
  1. 基于Tomasulo算法的32位RISC带Cache的流水线CPU设计

  2. 清华大学电子系微机原理课程设计题目。4人合作完成。 包含CPU的VHDL、Verilog源代码、仿真文件、波形结果、系统框图、实验报告、以及一个简易汇编器的源代码和可执行文件。 Quartus仿真实现了32位RISC微处理器,支持数据处理(包括乘除法),数据传送,子程序调用,中断及跳转。时序仿真主频可达70MHz。 采用Tomasulo算法处理指令流水中的数据相关,并提出了一种对Tomasulo就够的改进。设计了Cache结构提高访存效率。
  3. 所属分类:嵌入式

    • 发布日期:2011-01-24
    • 文件大小:3145728
    • 提供者:xumo0611
  1. 熟悉加深对流水线及RISC处理器的理解

  2. 熟悉加深对流水线及RISC处理器的理解 流水线能够提高指令执行的并行度,但是流水线要受到相关的影响,因此在对任务的指令序列进行调度时,要根据相关对指令的顺序进行调整。
  3. 所属分类:Windows Server

    • 发布日期:2011-05-02
    • 文件大小:30720
    • 提供者:shusheng2010
  1. 流水线指令及RISC.doc

  2. 流水线指令及RISC.doc
  3. 所属分类:硬件开发

    • 发布日期:2012-08-12
    • 文件大小:69632
    • 提供者:p627926735
  1. 流水线指令及RISC.doc

  2. 流水线指令及RISC,介绍了精简指令集的一些概念等等。
  3. 所属分类:硬件开发

    • 发布日期:2013-04-24
    • 文件大小:69632
    • 提供者:wjiale2013_com
  1. RISC处理器设计

  2. RISC系统结构基本思想:简单结构的高效实现 体:指令系统结构 操作:简单 数据:Load-Store结构,寻址方式简单 编码:定长 相:实现与使用方式 简化硬件,提高主频 指令流水线技术:寄存器操作容易解决相关 编译技术 用:性能及兼容性 性能:每条指令周期数差不多,主频高,CPI高 流水及多发射技术在提高性能的前提下不影响兼容性
  3. 所属分类:嵌入式

    • 发布日期:2014-10-31
    • 文件大小:358400
    • 提供者:baidu_22756945
  1. 基于Tomasulo算法的32位RISC带Cache的流水线CPU设计

  2. 清华大学电子系微机原理课程设计题目。4人合作完成。\n包含CPU的VHDL、Verilog源代码、仿真文件、波形结果、系统框图、实验报告、以及一个简易汇编器的源代码和可执行文件。\nQuartus仿真实现了32位RISC微处理器,支持数据处理(包括乘除法),数据传送,子程序调用,中断及跳转。时序仿真主频可达70MHz。\n采用Tomasulo算法处理指令流水中的数据相关,并提出了一种对Tomasulo就够的改进。设计了Cache结构提高访存效率。
  3. 所属分类:Android

    • 发布日期:2018-04-15
    • 文件大小:3145728
    • 提供者:daziel
  1. 基于Tomasulo算法的32位RISC带Cache的流水线CPU设计

  2. 清华大学电子系微机原理课程设计题目。4人合作完成。 包含CPU的VHDL、Verilog源代码、仿真文件、波形结果、系统框图、实验报告、以及一个简易汇编器的源代码和可执行文件。 Quartus仿真实现了32位RISC微处理器,支持数据处理(包括乘除法),数据传送,子程序调用,中断及跳转。时序仿真主频可达70MHz。 采用Tomasulo算法处理指令流水中的数据相关,并提出了一种对Tomasulo就够的改进。设计了Cache结构提高访存效率。
  3. 所属分类:嵌入式

    • 发布日期:2018-04-20
    • 文件大小:3145728
    • 提供者:x82036970
  1. 基于Tomasulo算法的32位RISC带Cache的流水线CPU设计

  2. 清华大学电子系微机原理课程设计题目。4人合作完成。 包含CPU的VHDL、Verilog源代码、仿真文件、波形结果、系统框图、实验报告、以及一个简易汇编器的源代码和可执行文件。 Quartus仿真实现了32位RISC微处理器,支持数据处理(包括乘除法),数据传送,子程序调用,中断及跳转。时序仿真主频可达70MHz。 采用Tomasulo算法处理指令流水中的数据相关,并提出了一种对Tomasulo就够的改进。设计了Cache结构提高访存效率。
  3. 所属分类:嵌入式

    • 发布日期:2018-04-24
    • 文件大小:3145728
    • 提供者:acroyali1835
  1. 基于Tomasulo算法的32位RISC带Cache的流水线CPU设计

  2. 清华大学电子系微机原理课程设计题目。4人合作完成。 包含CPU的VHDL、Verilog源代码、仿真文件、波形结果、系统框图、实验报告、以及一个简易汇编器的源代码和可执行文件。 Quartus仿真实现了32位RISC微处理器,支持数据处理(包括乘除法),数据传送,子程序调用,中断及跳转。时序仿真主频可达70MHz。 采用Tomasulo算法处理指令流水中的数据相关,并提出了一种对Tomasulo就够的改进。设计了Cache结构提高访存效率。
  3. 所属分类:嵌入式

    • 发布日期:2018-04-26
    • 文件大小:3145728
    • 提供者:qq_23522985
  1. 基于Tomasulo算法的32位RISC带Cache的流水线CPU设计

  2. 清华大学电子系微机原理课程设计题目。4人合作完成。 包含CPU的VHDL、Verilog源代码、仿真文件、波形结果、系统框图、实验报告、以及一个简易汇编器的源代码和可执行文件。 Quartus仿真实现了32位RISC微处理器,支持数据处理(包括乘除法),数据传送,子程序调用,中断及跳转。时序仿真主频可达70MHz。 采用Tomasulo算法处理指令流水中的数据相关,并提出了一种对Tomasulo就够的改进。设计了Cache结构提高访存效率。
  3. 所属分类:嵌入式

    • 发布日期:2018-05-04
    • 文件大小:3145728
    • 提供者:dhkrncyh
  1. 基于Tomasulo算法的32位RISC带Cache的流水线CPU设计

  2. 清华大学电子系微机原理课程设计题目。4人合作完成。 包含CPU的VHDL、Verilog源代码、仿真文件、波形结果、系统框图、实验报告、以及一个简易汇编器的源代码和可执行文件。 Quartus仿真实现了32位RISC微处理器,支持数据处理(包括乘除法),数据传送,子程序调用,中断及跳转。时序仿真主频可达70MHz。 采用Tomasulo算法处理指令流水中的数据相关,并提出了一种对Tomasulo就够的改进。设计了Cache结构提高访存效率。
  3. 所属分类:嵌入式

    • 发布日期:2018-05-05
    • 文件大小:3145728
    • 提供者:weixin_41469899
  1. 基于Tomasulo算法的32位RISC带Cache的流水线CPU设计

  2. 清华大学电子系微机原理课程设计题目。4人合作完成。 包含CPU的VHDL、Verilog源代码、仿真文件、波形结果、系统框图、实验报告、以及一个简易汇编器的源代码和可执行文件。 Quartus仿真实现了32位RISC微处理器,支持数据处理(包括乘除法),数据传送,子程序调用,中断及跳转。时序仿真主频可达70MHz。 采用Tomasulo算法处理指令流水中的数据相关,并提出了一种对Tomasulo就够的改进。设计了Cache结构提高访存效率。
  3. 所属分类:嵌入式

    • 发布日期:2018-05-11
    • 文件大小:3145728
    • 提供者:zhouxixue
  1. 嵌入式系统/ARM技术中的安勤科技推出最新工业应用RISC解决方案

  2. 安勤科技推出具有高扩展性的全新RISC嵌入式计算机模块,整合以ARM9、ARM11、Cortex-A8技术为基础的最新微控制器与嵌入式软件开发技术,提供客户包括SoM、SBC及平板计算机(PPC)低成本的嵌入式系统解决方案,大幅扩展工业计算机应用范畴。RISC微处理器不仅精简了指令系统,采用超标量和朝流水线结构,大大增强了并行处理能力。   轻巧行动力   RISC的指令系统相对简单,它只要求硬件执行很有限且最常用的那部分指令,大部分复杂的操作则使用成熟的编译技术,由简单指令合成。 目前 在中高
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:71680
    • 提供者:weixin_38675746
  1. 嵌入式系统/ARM技术中的基于NiosII的视频采集与DVI成像研究及实现

  2. 视频采集是进行图像及图形处理的第一步,目前视频采集系统一般由FPGA和DSP组成,FPGA作为视频采集控制芯片,DSP作为图像处理与成像控制芯片[1]。随着FPGA技术的发展,片内的逻辑单元越来越多,片内的DSP资源也越来越丰富,因此可直接在FPGA片内进行图像处理。目前Altera公司的FPGA支持NiosII[2]软核,通过Avalon设备总线挂接自定义模块,编写用户控制程序。本设计通过Avalon总线读取RGB像素值进行像素处理,通过I2C总线初始化视频解码芯片和DVI视频编码芯片。Nio
  3. 所属分类:其它

    • 发布日期:2020-11-07
    • 文件大小:179200
    • 提供者:weixin_38558660
  1. 适用于RISC CPU的转移指令的原理及仿真

  2. 1 引言 在RISC CPU的设计当中,转移指令的处理对处理器的性能的影响非常关键。转移指令决定着程序的执行顺序,在程序中的使用频率很高。RISC CPU中程序是以流水线的方式执行的,当程序顺序执行时,下一条指令的地址与前一条指令的内容无关 ;而在执行转移指令时要根据转移指令的执行结果来确定下一条指令的地址,也就是说下一条指令的地址在转移指令执行之前是未知的,造成流水线的不连贯,影响了CPU的效率。 转移指令处理的方法很多,可分为预测法和非预测法,预测法又包含静态预测和动态预测,静态预测如总预测
  3. 所属分类:其它

    • 发布日期:2020-12-08
    • 文件大小:132096
    • 提供者:weixin_38651165
« 12 3 »