您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于FPGA的抢答器设计

  2. 智力竞赛抢答计时器的设计 一、 课题说明在许多比赛活动中,为了准确、公正、直观地判断出第一抢答者,通常设置一台抢答器,通过数显、灯光及音响等多种手段指示出第一抢答者。同时,还可以设置计时、计分、犯规奖惩计录等多种功能。 二、 设计要求 1、设计一个4组参加的智力竞赛抢答计时器。每组设置一个抢答按钮供抢答者使用。 2、电路具有第一抢答信号的鉴别和锁存功能。在主持人将系统复位并发出抢答指令后,当有某一组参赛者首先按下抢答开关时,数码管显示相应组别并伴有声响。此时,电路应具备自锁功能,使别组的抢答开
  3. 所属分类:硬件开发

    • 发布日期:2009-05-11
    • 文件大小:235520
    • 提供者:yinmy123456
  1. 微机原理与接口技术-竞赛抢答器的设计

  2. (1)可供4组同时抢答,由按钮控制。 (2)能显示出最先抢答的组号,而对其他组的抢答不予理睬。 (3)对主持人未曾按启动扭之前就按抢答按钮的犯规组,亮红灯警告。 (4)对抢答后的回答时间进行计时控制,如回答超时,则以音响报警。
  3. 所属分类:专业指导

    • 发布日期:2009-06-09
    • 文件大小:136192
    • 提供者:goodmanfreesky
  1. EDA课程四人抢答器报告

  2. (1)有多路抢答器,台数为四; (2)具有抢答开始后20秒倒计时,20秒倒计时后无人抢答显示超时,并报警; (3)能显示超前抢答台号并显示犯规报警;
  3. 所属分类:专业指导

    • 发布日期:2009-09-30
    • 文件大小:1048576
    • 提供者:wusj333
  1. 基于单片机的8路抢答器设计

  2. PCB 原理图引言 单片机把我们带入了智能化的电子领域,许多繁琐的系统都由单片机进行设计,便能收到电路更简单、功能更齐全的良好效果。若把经典的电子系统当作一个僵死的电子系统则是一个具有“生命”的电子系统。 随着技术的技术的进步,单片机与串口通信的结合更多地应用到各个电子系统中已成为一种趋势。本设计就是基于单片机设计抢答器系统,通过串口通信动态传输数据,使抢答系统有了更多更完善的功能。单片机系统的硬件结构给与了抢答系统“身躯”,而单片机的应用程序赋予了其新的“生命”,使其在传统的抢答器面前具有电
  3. 所属分类:硬件开发

    • 发布日期:2010-10-13
    • 文件大小:659456
    • 提供者:liyong1993
  1. 四路(八路)抢答器课程设计

  2. 在许多比赛活动中,为了准确、公正、直观地判断出第一抢答者,通常设置一台抢答器。通过抢答器的数显,灯光和音响等手段指示出第一抢答者。同时还可以设置定时、记分犯规及奖惩等多种功能。 本设计采用手动抢答的方式,有人抢答后,系统自动封锁其他人的抢答按钮,使其不能再抢答,从而实现抢答功能。 数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实
  3. 所属分类:嵌入式

    • 发布日期:2010-12-22
    • 文件大小:130048
    • 提供者:tangshisong
  1. 基于8086的竞赛六路抢答器(汇编)

  2. 设计一个6路抢答器。 基本要求: 可供6组同时抢答,由按钮控制。 主持人按启动键,绿灯亮开始抢答。 能显示出最先抢答的组号,而对其他组的抢答不予理睬,黄 灯亮并 扬声器提示抢答成功。 对主持人未曾按启动扭之前就按抢答按钮的犯规组,显示其组号,亮红 并扬声器提示警告。 对抢答后的回答时间30秒进行倒计时控制,如回答超时,则以扬声器报 警。 管显示选手号码,扬声器鸣叫。若选手抢答违规(主持人未按开始键),七段 数码管显示违规选手号码,红灯亮,扬声器报警。若系统提示某号选手抢答违规,主持人仍未按开始
  3. 所属分类:硬件开发

    • 发布日期:2011-03-26
    • 文件大小:342016
    • 提供者:wwwasw
  1. 智能抢答器(含超前抢答报警,超时报警)

  2. 智能抢答器(FPGA),(1) 用试验箱内的I/O设备和FPGA芯片实现智能电子抢答器的设计。 (2) 具体要求如下:抢答器可容纳8组参赛者抢答,每组设一个抢答按键。 (3) 电路具有第一抢答信号的鉴别和锁存功能。在主持人将复位按键按下后开始抢答,并用七段数码管显示抢答者得序号,同时扬声器发出“嘟嘟”的响声,并维持30秒钟,此时电路自锁,不再接受其他选手的抢答信号; (4) 设计一个犯规电路,对提前或超时抢答者鸣喇叭示警,并显示犯规的组别序号。
  3. 所属分类:硬件开发

    • 发布日期:2011-06-13
    • 文件大小:2048
    • 提供者:zhouzhou312
  1. 四路抢答器

  2. 在主持人按下开始键之前,数码管只显示默认的图像“8”,并且各位选手处的二极管全部处在熄灭状态。 按下开始键之后,单片机开始倒计时,数码管显示倒计时时间。 如果有参赛者率先在规定时间内抢答成功,则选手开关处的二极管点亮,倒计时停止,变为答题时间倒计时。 如果有参赛者在主持人未按抢答器之前抢答,则蜂鸣器发出报警,并且选手按键处二极管点亮,提示该选手犯规。 如果在规定时间内无人抢答,当倒计时结束时此题作废。 无论是在规定时间内有人按键、无人按键或有人抢答,都需要主持人再按一下复位键,之后电路复位,回
  3. 所属分类:C/C++

    • 发布日期:2012-01-02
    • 文件大小:194560
    • 提供者:z0456
  1. 抢答器设计-FPGA

  2. 次设计在EDA开发平台QUARTUSⅡ6.0上利用VHDL语言设计六人抢答器电路。电路中设有六个抢答键,可供六人同时抢答;我们利用一个二十进制计数器,将其输入频率设定为一赫兹,成功实现了二十秒倒计时的功能;我们利用VHDL语言中的IF和CASE语句结合空操作语句NULL实现开始抢答与超前抢答的区别;各个模块配以一时钟频率由蜂鸣器输出可实现抢答成功、超前抢答犯规、超时抢答等各种情况的报警效果;本设计采用的是杭州康芯电子有限公司生产的GW48系列/SOPC/EDA实验开发系统,FPGA目标芯片型号
  3. 所属分类:其它

    • 发布日期:2012-01-12
    • 文件大小:389120
    • 提供者:jh5254622
  1. 51单片机制作5路抢答器

  2. 用AT89S51制作的5路抢答器,具有抢答犯规报警功能,主持人控制开始和结束,可调节每个人的抢答时间和抢答后的答题时间。
  3. 所属分类:软件测试

    • 发布日期:2012-04-09
    • 文件大小:51200
    • 提供者:qinjunfeng10
  1. 单片机8路抢答器

  2. 单片机8路抢答器 代码仿真/*八路抢答器-----能够显示抢答、回答时间倒计时,能够调节抢答、回答时间,能够报警犯规抢答,可以计分,可以实现软复位*/ /*桂林电子科技大学*信息与通信学院*电子科学与技术*/ /*此程序仅供参考!!恳请自重*/ /*本人博客:http://blog.sina.com.cn/13302xiaoqiao */ /*欢迎交流*/ #include #define uchar unsigned char #define uint unsigned int sbit f
  3. 所属分类:C/C++

    • 发布日期:2013-04-05
    • 文件大小:48128
    • 提供者:anzelin108
  1. 中南大学六人抢答器课程设计报告

  2. 中南大学六人抢答器课程设计报告1)有多路抢答,抢答台数为6; 2)具有抢答开始后20秒倒计时,20秒倒计时后无人抢答显示超时,并报警: 3)能显示超前抢答台号并显示犯规报警: 2、系统复位后进入抢答状态,当有一路抢答按键按下,该路抢答信号将其余各路抢答信号封锁,同时铃声想起,直至该路按键松开,显示牌显示该路抢答台号。
  3. 所属分类:硬件开发

    • 发布日期:2014-04-27
    • 文件大小:326656
    • 提供者:zhanglinboo
  1. 八路扫描式抢答器设计论文

  2. 其中程序部分包括了:正常抢答,非法抢答,犯规抢答,倒计时,加减延时间,显示去抖动等。 可以根据题目的难易程度的不同设定相应的抢答时间 部分目录如下: 第2节 系统硬件设计 2.1 芯片的选择 2.2 工作原理 2.3 系统的硬件构成及功能 2.3.1抢答器的电路图 2.3.2单元电路设计 2.3.3定时电路设计 2.3.4报警电路设计 第3节 系统软件设计 3.1 系统主程序设计 3.2 抢答器的源程序
  3. 所属分类:嵌入式

    • 发布日期:2015-12-09
    • 文件大小:245760
    • 提供者:key_clone
  1. 四路数字抢答器的设计

  2. 1)设计制作一个容纳4组参赛队的数字式抢答器,每组设置一抢答按钮供抢答者使用。 2)设计抢答者的输入抢答锁定电路、抢答者序号编码、译码和显示电路。 3)设计定时电路,声、光报警或音乐片驱动电路。 4)设计控制逻辑电路,启动、复位电路。 5)设计计分电路,犯规电路。 6)安装自己设计的电路和仿真。 7)写出设计报告。
  3. 所属分类:嵌入式

    • 发布日期:2009-01-03
    • 文件大小:123904
    • 提供者:picture123
  1. 基VHDL的数字抢答器

  2. 可以容纳四组参赛队进行比赛的电子抢答器:具有第一抢答信号的鉴别和锁存功能;具有计时功能,规定时间内未答完提眯,扬声器报警;具有记分功能,在设置的初时分值上加减得分;具有犯规设置电路,犯规鸣喇叭示警并显示犯规组别。
  3. 所属分类:专业指导

    • 发布日期:2009-04-26
    • 文件大小:6144
    • 提供者:sissyco_cn1
  1. 四路数字抢答器的设计

  2. 1)设计制作一个容纳4组参赛队的数字式抢答器,每组设置一抢答按钮供抢答者使用。 2)设计抢答者的输入抢答锁定电路、抢答者序号编码、译码和显示电路。 3)设计定时电路,声、光报警或音乐片驱动电路。 4)设计控制逻辑电路,启动、复位电路。 5)设计计分电路,犯规电路。 6)安装自己设计的电路和仿真。 7)写出设计报告。
  3. 所属分类:嵌入式

    • 发布日期:2018-07-04
    • 文件大小:126976
    • 提供者:qiuzhen11s
  1. 抢答器程序

  2. void main() { TMOD = 0x01; EA = 1; ET0 = 1; TH0 =(65536-9216)/256; TH0 =(65536-9216)/256; while(1) { keying(); if(r == 0) { display(10); } if(d == 5) { TR0 = 1; //开启计时 display(a); r = 1; i = 1; } if(a >= 0 && a <= 10) //倒计时中 { if(d ==1 || d ==
  3. 所属分类:C

    • 发布日期:2018-08-09
    • 文件大小:22528
    • 提供者:qq_40472181
  1. 一个FPGA实现的八人抢答器

  2. 该抢答器使用VHDL语言编写,能实现: (1)能够进行多路抢答,抢答台数为8. (2)能够在抢答开始后进行20秒倒计时,20秒倒计时后无人抢答则显示超时,并报警。 (3)能显示超前抢答台号并显示犯规警报。 (4)系统复位后进入抢答状态,当有一路抢答按键按下,那么该路抢答信号将其余各路抢答信号封锁,同时铃声响起,直至该路按键松开,显示牌显示该路抢答台号。
  3. 所属分类:硬件开发

    • 发布日期:2018-09-07
    • 文件大小:496640
    • 提供者:hualuo_shuijia
  1. verilog-设计抢答器.docx

  2. (1)抢答台数为6; (2)具有抢答开始后20s倒计时,20秒倒计时后6人抢答显示超时,并报警; (3)能显示超前抢答台号并显示犯规报警; (4)系统复位后进入抢答状态,当有一路抢答按键按下,该路抢答信号将其余各路抢答信号封锁,同时铃声响起,直至该路按键松开,显示牌显示该路抢答台号。
  3. 所属分类:电信

    • 发布日期:2020-05-20
    • 文件大小:54272
    • 提供者:f1275928612
  1. 六路20秒声光显示计分抢答器.ms14

  2. 74LS190;74LS148;74LS373的应用,供六组参赛的数字式竞赛抢答器,每组设置一个抢答按钮,要求具有第一抢答信号的鉴别和锁存功能,具有计分及计时功能,设置犯规报警电路。(电路具有鉴别和锁存功能,用数码管显示第一抢答组别且该组别对应指示灯亮,电路的自锁功能,使其余抢答开关不起作用;有主持人开关、有复位功能;增加部分扩展功能(如抢答计时及加分、减分电路等)。
  3. 所属分类:讲义

    • 发布日期:2019-12-31
    • 文件大小:565248
    • 提供者:qq_43703253
« 12 »