您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于VHDL的数字时钟的设计

  2. 随着EDA技术的发展和应用领域的扩大与深入,EDA技术在电子信息、通信、自动控制及计算机应用领域的重要性日益突出.EDA技术就是依赖功能强大的计算机,在EDA工具软件平台上,对以硬件描述语言VHDL为系统逻辑描述手段完成的设计文件,自动地完成逻辑优化和仿真测试,直至实现既定的电子线路系统功能.本文介绍了基于VHDL硬件描述语言设计的多功能数字时钟的思路和技巧.在QuartusⅡ开发环境中编译和仿真了所设计的程序,并逐一调试验证程序的运行状况.仿真和验证的结果表明,该设计方法切实可行,该数字时钟
  3. 所属分类:嵌入式

    • 发布日期:2009-05-30
    • 文件大小:293888
    • 提供者:armxing
  1. 基于Muhisiml0的数字时钟仿真探讨

  2. :随着电子设计自动化(EDA)技术的发展,开创了利用“虚拟仪器”、“虚拟器件”在计算 机上进行电子电路设计和实验的新方法。学生可以在Muhisiml0软件中进行模拟仿真,避免 了实际操作的繁杂和不便,同时节约了实验器材,有助于学生边学边用,从而学以致用。通过 这个数字时钟的仿真,帮助学生认识其软件作用,深入分析其原理,帮助学生了解数字时钟工 作原理。
  3. 所属分类:嵌入式

    • 发布日期:2009-07-18
    • 文件大小:239616
    • 提供者:ddc2006
  1. 多功能数字时钟设计报告

  2. 大学电子电路实验,数字时钟设计报告,本实验要求设计一个数字计时器,可以完成0分00秒~9分59秒的计时功能,并在控制电路的作用下有开机清零、快速校分、整点报时功能。
  3. 所属分类:专业指导

    • 发布日期:2009-10-19
    • 文件大小:402432
    • 提供者:sunnyxq881229
  1. 数字电子数字时钟设计报告

  2. 数字电子数字数字电子数字时钟设计报告时钟设计报告 数字电子数字时钟设计报告
  3. 所属分类:专业指导

    • 发布日期:2009-11-08
    • 文件大小:2097152
    • 提供者:wljycn
  1. 多功能数字时钟 数字电子技术课程设计

  2. 多功能数字时钟 数字电子技术课程设计 里面包括3个文档和maxplus2仿真文件,非常详细
  3. 所属分类:嵌入式

    • 发布日期:2009-12-17
    • 文件大小:425984
    • 提供者:yomiyomi123
  1. EDA课程设计 数字时钟的设计(VHDL)

  2. 数字时钟的设计(EDA课程设计) 内含:实验目的 掌握VHDL语言的基本运用 掌握MAX+plusII的简单操作并会使用EDA实验箱 功能设计、系统设计、功能分析、创新点、VHDL代码
  3. 所属分类:专业指导

    • 发布日期:2010-01-10
    • 文件大小:129024
    • 提供者:shaxiaozisha
  1. 数字电子技术之数字时钟

  2. 数字时钟,用数字电子技术所学知识,希望能对大家有所帮助。
  3. 所属分类:专业指导

    • 发布日期:2010-06-22
    • 文件大小:3145728
    • 提供者:malin1109
  1. 数字时钟毕业设计论文 单片机 微机原理 汇编

  2. 数字时钟 毕业设计论文 单片机 微机原理 汇编 电子电气专业 课程设计
  3. 所属分类:硬件开发

    • 发布日期:2010-06-27
    • 文件大小:180224
    • 提供者:a787999585
  1. 数字电子技术、交通灯的设计与制作、数字时钟的设计与制作

  2. 数字电子技术、交通灯的设计与制作、数字时钟的设计与制作
  3. 所属分类:交通

    • 发布日期:2010-10-07
    • 文件大小:652288
    • 提供者:ssolriu
  1. 数字时钟 0-9数字图片收藏 javascript实现的时钟图片

  2. 数字时钟 0-9 数字时钟图片 数字电子图片 0-9数字图片收藏!!!
  3. 所属分类:Web开发

    • 发布日期:2011-03-11
    • 文件大小:333824
    • 提供者:xie1xiao1jun
  1. 数字时钟毕业设计及电子说明文档

  2. 10篇数字时钟相关设计报告和程序.多功能电子时钟.电子说明文档
  3. 所属分类:嵌入式

    • 发布日期:2011-05-24
    • 文件大小:1048576
    • 提供者:chenweiquan7758
  1. 数字时钟pcb制作

  2. 关于数字时钟电路的设计Protel 99是一个全面集成的电路设计软件,它具备强大便捷的编辑功能,为电子电路原理图和印制电路板的设计提供了良好的操作环境。
  3. 所属分类:专业指导

    • 发布日期:2011-12-11
    • 文件大小:365568
    • 提供者:sugushjd
  1. C++ 电子数字时钟

  2. 用C++编写的一个电子数字时钟 大方美观很实用
  3. 所属分类:C++

    • 发布日期:2012-06-23
    • 文件大小:59392
    • 提供者:static_summer
  1. VB图像数字时钟,VB源码下载

  2. 摘要:VB源码,系统相关,数字时钟,电子钟  VB图像数字时钟,VB源码下载,数字电子时钟,显示时间和日期,惯用的风格,实用性强,源码供大家下载学习。
  3. 所属分类:VB

    • 发布日期:2012-08-09
    • 文件大小:79872
    • 提供者:kaixingba
  1. 基于VHDL语言的数字时钟设计

  2. 基于VHDL的数字时钟课程设计,适用大学的电子设计自动化等方面的课程设计。
  3. 所属分类:专业指导

  1. 数字时钟设计

  2. 采用电子线路的数字时钟的设计方案。使用74ls04,74ls20,74ls00,74ls 48,74ls160,八段数码管,ne555,晶振,等原件制成。附电路原理图以及pcb图
  3. 所属分类:硬件开发

    • 发布日期:2013-12-26
    • 文件大小:2097152
    • 提供者:xionghengchang
  1. 数字时钟,课程设计

  2. 电子课程设计数字时钟,实验报告word文档。
  3. 所属分类:专业指导

    • 发布日期:2013-12-30
    • 文件大小:1048576
    • 提供者:wangbeibei23
  1. 电子线路课程设计—电子数字时钟.docx

  2. 百度文库也可以下载。也可以看介绍。都是同学的课程设计。 http://wenku.baidu.com/view/0ff25a94866fb84ae55c8d0e
  3. 所属分类:嵌入式

    • 发布日期:2016-06-14
    • 文件大小:1048576
    • 提供者:u012075395
  1. VHDL数字时钟 EDA 设计 电子钟

  2. VHDL 数字时钟源代码 具有较时功能,带秒表 分频,电子自动化设计练习
  3. 所属分类:专业指导

    • 发布日期:2008-11-24
    • 文件大小:4096
    • 提供者:eric43
  1. html5超逼真带定时功能的电子数字时钟

  2. html5超逼真带定时功能的电子数字时钟.一款html5页面...
  3. 所属分类:Web开发

    • 发布日期:2018-03-26
    • 文件大小:103424
    • 提供者:qq_36835455
« 12 3 4 5 6 7 8 9 10 ... 46 »