您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 五层电梯PLC控制系统及组态模拟设计

  2. 1.引言 电梯的电气系统由拖动系统和控制系统 两部分组成。传统的电气控制系统采用的继 电器逻辑控制 由于设备体积大,可靠性差 , 动作速度慢 ,功能少等缺 点,正逐渐被淘 汰 。 目前 电 梯 设 计 使 用 可 编 程控 制 器 (PLC),要求功能灵活 ,编程简单 ,故障 少 ,噪音低 ,通用性和灵活性高 。维修保 养方便 ,节能省工,抗干扰能力强 ,控制 箱占地面积少。当乘员进入电梯后,按下楼 层按钮,电梯门自动 /手动关闭后。电梯进 行下列运作:根据轿厢所处位置及乘员所到 层数.判定轿
  3. 所属分类:专业指导

    • 发布日期:2009-05-19
    • 文件大小:165888
    • 提供者:jayzf0503
  1. 电梯的控制,很实用,很好用

  2. 1、 每层电梯的入口处设有上下请求开关,电梯内设有乘客到达层次的停站请求开关。 2、 设有电梯所处位置指示装置及电梯运行模式(上升或下降)指示装置。 3、 电梯每秒升降一层。 4、 电梯到达有停站请求的楼层后,经过1s电梯打开,开门只是灯亮,开门4s后,电梯门关闭(关门指示灯灭),电梯继续运行,直至执行完请求信号后停在当前楼层。 5、 能记忆电梯内外的所以请求信号,并按照电梯运行规则依次响应,每个请求信号保留至执行后消除。
  3. 所属分类:专业指导

    • 发布日期:2009-12-14
    • 文件大小:35840
    • 提供者:weiweixiaowei
  1. 六层电梯VHDL实现

  2. 六层电梯VHDL实现 六层电梯VHDL实现设计一个六层电梯控制系统要求有超载、关门中断、提前关门清除报警信电梯外人的上升请求信号,电梯外人的下降请求信号, 电梯外人上升请求信号显示电梯外人下降请求信号显示 到达楼层信号 设计思路:输入有clk 时钟信号(频率为2Hz) full,deng,quick,clr 超载、关门中断、提前关门清除报警c_u1,c_u2,c_u3,c_u4,c_u5电梯外人的上升请求c_d2,c_d3,c_d4,c_d5,c_d6 电梯外人的下降请求 d1,d2,d3,d
  3. 所属分类:C

    • 发布日期:2010-03-24
    • 文件大小:251904
    • 提供者:lichunyang1224
  1. 基于VHDL语言的实用电梯控制器

  2. 六层电梯VHDL实现 六层电梯VHDL实现设计一个六层电梯控制系统要求有超载、关门中断、提前关门清除报警信电梯外人的上升请求信号,电梯外人的下降请求信号, 电梯外人上升请求信号显示电梯外人下降请求信号显示 到达楼层信号 设计思路:输入有clk 时钟信号(频率为2Hz) full,deng,quick,clr 超载、关门中断、提前关门清除报警c_u1,c_u2,c_u3,c_u4,c_u5电梯外人的上升请求c_d2,c_d3,c_d4,c_d5,c_d6 电梯外人的下降请求 d1,d2,d3,d
  3. 所属分类:C

    • 发布日期:2010-04-04
    • 文件大小:2097152
    • 提供者:jacikedu
  1. 基于FPGA电梯控制器VHDL程序与仿真。

  2. 6层楼的电梯控制系统。 entity dianti is port ( clk : in std_logic; --时钟信号(频率为2Hz) full,deng,quick,clr : in std_logic; --超载、关门中断、提前关门清除报警信号 c_u1,c_u2,c_u3,c_u4,c_u5: in std_logic; --电梯外人的上升请求信号 c_d2,c_d3,c_d4,c_d5,c_d6 : in std_logic; --电梯外人的下降请求信号 d1,d2,d3,d4,
  3. 所属分类:C

    • 发布日期:2010-12-02
    • 文件大小:258048
    • 提供者:TOMORROW_LIU
  1. 六层电梯控制器的VHDL设计

  2. VHDL控制器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity dianti is port ( clk : in std_logic; --时钟信号(频率为2Hz) full,deng,quick,clr : in std_logic; --超载、关门中断、提前关门清除报警信号 c_u1,c_u2,c_u3,c_u4
  3. 所属分类:C

    • 发布日期:2010-12-24
    • 文件大小:258048
    • 提供者:jsycjxx
  1. 数电课程设计_高楼电梯自动控制系统

  2. 分数是:良好的课程设计 系统控制的电梯往返于1—9层楼(限单人单乘)。 乘客要求的楼层数可手动输入并显示(设A数)。 电梯运行的楼层数可自动显示(设B数)。 当A>B时,系统能输出使三相电机正转的时序信号,使电梯上升。 当A<B时,系统能输出使三相电机反转的时序信号,使电梯下降。 当A=B时,系统停机信号,使电梯停止运行并开门。
  3. 所属分类:专业指导

    • 发布日期:2011-01-02
    • 文件大小:566272
    • 提供者:hwh987
  1. 高楼电梯自动控制系统

  2. 高楼电梯自动控制系统 设计任务和基本要求: (1)系统控制的电梯往返于1-9层楼。 (2)乘客要去的楼层数可手动输入并显示(设为A数)。 (3)电梯运行的楼层数可自动显示(设为B数)。 (4)当A>B时,系统能输出使三相电机正转的时序信号,使电梯上升; 当A<B时,系统能输出使三相电机反转的时序信号,使电梯下降; 当A=B时,系统能输出使三相电机停机的信号,使电梯停止运行并开门; (5)梯是上升还是下降各层电梯门外应有指示,各层电梯门外应有使电梯上升或下降到乘客所在楼层的控制开关。
  3. 所属分类:专业指导

  1. 基于PLC电梯控制系统设计

  2. 本文在分析电梯结构和控制原理的基础上,根据系统功能进行了基于PLC的双电梯并联控制系统的设计。其中PLC程序设计部分采用了模块化设计,主要包括电梯开关门环节、楼层显示输出环节、轿内/外呼梯信号登记与消号环节、电梯上下行控制、顺向截车及其他保护环节等;根据电梯控制的I/O分配原则及实际的I/O分配情况,结合单部电梯的集选控制与双部电梯的并联调度,确定本系统的控制原则,编写出主要控制程序及相关保护程序,使两台并联的电梯能够依据调度规则来响应乘客的召唤信号。控制系统的组态设计部分利用MCGS组态软件
  3. 所属分类:专业指导

    • 发布日期:2011-06-11
    • 文件大小:1048576
    • 提供者:jiakairui
  1. 《RFID基础知识》

  2. 深圳凯帕斯,电梯门禁,电梯楼层控制,电梯刷卡,门禁安装,电锁安装,一卡通厂家,IC卡一卡通,智能一卡通,智能门禁系统,深圳门禁厂家,进口门禁,进口一卡通,一卡通,电梯IC卡
  3. 所属分类:系统安全

    • 发布日期:2011-12-05
    • 文件大小:887808
    • 提供者:kpass5
  1. 电梯楼层控制显示

  2. 利用D触发器74LS74作为控制电梯按钮(即触发电平),经过编码器74LS148及比较器74LS85、锁存器C373、计数器74LS192来实现其电梯控制功能。采用DCLOCK的功能来实现电梯上行下行所需要的时间,利用BCD数码管显示楼层所在的数。
  3. 所属分类:专业指导

    • 发布日期:2013-07-04
    • 文件大小:607232
    • 提供者:u011308783
  1. 三个电梯的控制系统three elevators

  2. 三个电梯的控制系统目前,很多建筑都要用到电梯,因此我设计了一个电梯控制器来同时控制3个6层电梯的运行,可以根据三个电梯的空闲与否自动给出空闲的电梯,以使达到资源最大化利用。电梯控制器的成本低,易于控制。实现每层在电梯外控制所去楼层。规模是控制6层。同时电梯内只保留警报按钮。
  3. 所属分类:专业指导

    • 发布日期:2008-09-15
    • 文件大小:5120
    • 提供者:gemini040065
  1. 四层楼电梯PLC控制设计

  2. 四层楼电梯PLC控制设计 1、系统应能完成下列几项控制功能 1) 自动响应楼层召唤信号(含上召唤、下召唤) 2) 自动响应轿厢指令服务信号。 3) 自动完成轿厢楼层位置显示。 4) 自动显示电梯运行方向。
  3. 所属分类:软件测试

    • 发布日期:2013-12-07
    • 文件大小:870400
    • 提供者:u013072720
  1. 51单片机多功能电梯模拟控制系统-接近实际电梯的效果

  2. 本程序是为了实现电梯的自动控制。该控制系统具有以下功能: 1。一般的电梯自动功能,即一般模式 2。具有特别用途的管理模式,如维护,紧急事故,报警 在该模式下,该系统能提供几管理员,能直达指定楼层而不响应其他指令, 并且通过串口能记录和发送哪位管理的哪些操作。如"Admtor 5 from 1 to 8"表示 序号为5的管理员这时从1楼直达8楼。当退出该模式时,能恢复一般模式的指令。而且 为了便于管理和提高保密性,每个管理都有不同的密码。使该系统能适用特别场合, 如酒店,社区(花园),商场等商业
  3. 所属分类:硬件开发

    • 发布日期:2014-08-05
    • 文件大小:66560
    • 提供者:pangjineng
  1. 三层电梯的可编程控制器实验报告

  2. 本实验是模拟三层电梯的控制过程,但是在实际电梯的功能上进行了简化,各楼层的上行和下行呼叫按钮对电梯进行呼叫,电梯响应呼叫上升或下降。电梯上升途中只响应上升呼叫,电梯下降途中只响应下降呼叫,任何在此次运行途中反方向的呼叫均不响应。例如:电梯从一层到三层向上行驶。二层有呼叫时,则只响应二层的上升呼叫,(电梯在二楼暂停搭载乘客);而不响应二层的下降呼叫,(电梯在二楼不停)。余下依此类推。
  3. 所属分类:专业指导

    • 发布日期:2009-01-03
    • 文件大小:177152
    • 提供者:fjlwl
  1. 电梯自动控制系统的设计.zip

  2. 其中有设计说明书,硬件电路,CAD图 ,完整程序,主要介绍的是电梯自动控制模型,硬件部分使用的是单片机及外围电路组成高度为四层楼的电梯控制系统。单片机采用AT89C51,晶体振荡器选6MHz,C51、C52为30uF瓷片电容与晶体振荡器形成时钟电路。电容C53、电阻R51、R52和按键RESET构成上电复位和手动复位电路。软件部分采用了两种控制方案,简易控制方案只是简单的电梯上升下降,在各楼层短暂停留。而进一步控制方案则考虑各楼层的信号请求,以完成各楼层的升降控制。该系统具有工作稳定,操作简单等
  3. 所属分类:专业指导

    • 发布日期:2020-05-30
    • 文件大小:648192
    • 提供者:weixin_45429799
  1. 安防与监控中的指纹电梯智能控制系统方案

  2. 一、系统简介及主要亮点优势     简单介绍一下多奥指纹电梯智能电梯管理系统:     多奥指纹电梯智能控制管理系统主要由安装在电梯轿厢内或者轿厢外的指纹电梯读头、轿厢顶的指纹电梯控制器、安装在管理中心的指纹采集器、软件、等组成。     在电梯的轿厢内设置指纹读头,电梯的使用人员按指纹后,电梯可以开放对指纹预先设定层楼的轿内指令,提供给使用者登记;未授权的楼层,则不能登记。开放的公共区域则无须指纹可以登记。可以限制无关人员进入指纹权限区域。在电梯的厅外设置指纹读头,智能指纹电梯的使用人员
  3. 所属分类:其它

    • 发布日期:2020-10-16
    • 文件大小:88064
    • 提供者:weixin_38697940
  1. 通信与网络中的浅谈智能楼宇电梯控制系统与对讲设备的结合

  2. 电梯是人们在智能楼宇中最主要的搭乘工具,对电梯进行有效的安全控制和节能管理是越来越多智能小区项目所提出的迫切需求.一种以电动机为动力的垂直升降机,装有箱状吊舱,用于多层建筑乘人或载运货物.也有台阶式,踏步板装在履带上连续运行,俗称自动电梯. 服务于规定楼层的固定式升降设备.它具有一个轿厢,运行在至少两列垂直的或倾斜角小于15°的刚性导轨之间.轿厢尺寸与结构形式便于乘客出入或装卸货物.   1 工程概况   福州西提湾项目位于福州马尾,该项目使用了8台通力电梯,以及东南创通电梯楼层控制系统,采
  3. 所属分类:其它

    • 发布日期:2020-10-22
    • 文件大小:118784
    • 提供者:weixin_38569109
  1. PLC在电梯位移控制中的应用

  2. 本文采用PLC和变频器实现电梯常规控制的基础上,利用旋转编码器发出的脉冲信号构成位置反馈,实现电梯的精确位移控制。通过PLC程序设计实现楼层计数、换速信号、门区和平层信号的数字控制,取代井道位置检测装置,提高了系统的可靠性和平层精度。
  3. 所属分类:其它

    • 发布日期:2020-10-19
    • 文件大小:252928
    • 提供者:weixin_38618819
  1. 基于单片机的电梯自动控制系统的设计与实现

  2. 文中介绍了以 89C52单片机为核心控制器件的电梯自动控制系统,该系统采用单片机作为控制核心,通过扫描内外按键按下与否引起的电平的改变,作为用户请求信息发送到单片机,控制电动机转动,单片机根据楼层检测结果控制电机停在目标楼层。开发此系统可以方便用户自行输入所要到达的楼层,显示电梯当前到达层数等功能,这样可以大大提高其工作效率,彻底的脱离电梯操作工的模式,更主要的是为电子技术融入到计算机专业领域提供一种新思路,为单片机技术的应用提供更广阔的空间,为开发更科学的电梯控制系统提供参考。
  3. 所属分类:其它

    • 发布日期:2021-01-29
    • 文件大小:1048576
    • 提供者:weixin_38652196
« 12 3 4 5 6 7 »