点数信息
www.dssz.net
注册会员
|
设为首页
|
加入收藏夹
您好,欢迎光临本网站!
[请登录]
!
[注册会员]
!
首页
移动开发
云计算
大数据
数据库
游戏开发
人工智能
网络技术
区块链
操作系统
模糊查询
热门搜索:
源码
Android
整站
插件
识别
p2p
游戏
算法
更多...
在线客服QQ:632832888
当前位置:
资源下载
搜索资源 - 百分之一秒表
下载资源分类
移动开发
开发技术
课程资源
网络技术
操作系统
安全技术
数据库
行业
服务器应用
存储
信息化
考试认证
云计算
大数据
跨平台
音视频
游戏开发
人工智能
区块链
在结果中搜索
所属系统
Windows
Linux
FreeBSD
Unix
Dos
PalmOS
WinCE
SymbianOS
MacOS
Android
开发平台
Visual C
Visual.Net
Borland C
CBuilder
Dephi
gcc
VBA
LISP
IDL
VHDL
Matlab
MathCAD
Flash
Xcode
Android STU
LabVIEW
开发语言
C/C++
Pascal
ASM
Java
PHP
Basic/ASP
Perl
Python
VBScript
JavaScript
SQL
FoxBase
SHELL
E语言
OC/Swift
文件类型
源码
程序
CHM
PDF
PPT
WORD
Excel
Access
HTML
Text
资源分类
搜索资源列表
汇编语言程序设计——电子秒表
一、 设计内容与要求 设计一个简单的电子秒表(按一下钮启动,再按一个停止,再按一下清零,周而复始。)最小计时单位0.01S,即可显示XX.XX(秒、百分之一秒)。设计要求如下: (1)由键盘和8255作为输入部分 (2)用七段数码管或液晶显示屏来显示时间 (3)由8253和8259构成计时信号,通过中断完成启动计时、停止计时和动态刷新显示。 二、 设计思想 电子秒表要实现的功能:用键盘中断来控制整个程序,按一下回车键启动电子秒表,再按一下暂停,按一下ESC键清零,用七段数码管显示时间。整个程序
所属分类:
硬件开发
发布日期:2009-06-01
文件大小:95232
提供者:
dikixiao2009
数电课程设计数字电子秒表设计
电子秒表在生活中的应用,它可广泛应用于对运动物体的速度、加速度的测量实验,还可用来验证牛顿第二定律、机械能守恒等物理实验,同时也适用于对时间测量精度要求较高的场合.测定短时间间隔的仪表。有机械秒表和电子秒表两类。机械秒表与机械手表相仿,但具有制动装置,可精确至百分之一秒;电子秒表用微型电池作能源,电子元件测量显示,可精确至千分之一秒。广泛应用于科学研究、体育运动及国防等方面在当今非常注重工作效率的社会环境中,定时器能给我们的工作、生活以及娱乐带来很大的方便,充分利用定时器,能有效的加强我们的工
所属分类:
专业指导
发布日期:2009-06-15
文件大小:209920
提供者:
yellowabc123
微机原理课程设计之秒表的实现
1. 具有秒和百分之一秒计时,并显示在屏幕中央 2. 秒表具有启/停 3. 秒表具有复位功能 在此基础上,我们为秒表加框,加色,动态显示“STOPWATCH!”, 非常好的课程设计完全资料.
所属分类:
专业指导
发布日期:2009-06-22
文件大小:110592
提供者:
WOSHICAIXIANFENG
VC编写的时钟小程序
用VC编写的秒表时钟小程序,可实现百分之一秒的计时精度,同时添加了VC的皮肤
所属分类:
C++
发布日期:2010-04-22
文件大小:41984
提供者:
wangzi10060
电子秒表 接口实验课设报告
电子秒表 接口实验课设报告本程序的主要设计原理是用主程序完成百分之一秒与秒之间的转换,显示计时结果,实现暂停,暂停再启动,复位,复位再启动的功能,并通过调用一些附加程序,缩减主程序的长度,提高运行效率和精度,现将用到的所有附加程序的原理及其在运行过程中所起到的作用汇总如下
所属分类:
专业指导
发布日期:2010-06-07
文件大小:179200
提供者:
lida8928
课程设计-----微型计算机原理课设(2010民大)
课程设计-----微型计算机原理课设(2010民大) 设计一种具有计数的秒表 1. 具有秒和百分之一秒计时并显示于图案中央 2. 具有启、停功能 3. 具有复位功能 4.具有记忆功能 5.具有整分报时功能
所属分类:
专业指导
发布日期:2011-06-11
文件大小:114688
提供者:
mjh033119
秒表程序设计
设计任务 1.具有秒和百分之一秒计时并显示于图案中央 2.具有启、停功能 3.具有复位功能 4.具有记忆功能 5.具有整分报时功能
所属分类:
其它
发布日期:2011-09-24
文件大小:7168
提供者:
qqdhj
VHDL语言课程设计-秒表设计
VHDL语言课程设计-秒表设计 一、实验目的: 秒表的逻辑结构比较简单,它主要由、显示译码器、分频器、十进制计数器、报警器和六进制计数器组成。在整个秒表中最关键是如何获得一个精确的100Hz计时脉冲,除此之外,整个秒表还需要一个启动信号和一个归零信号,以便能够随时启动及停止。 秒表有六个输出显示,分别为百分之一秒,十分之一秒、秒、十秒、分、十分,所以共有6个计数器与之对应,6个个计数器全为BCD码输出,这样便于同时显示译码器的连接。当计时达60分钟后,蜂鸣器鸣响10声。 二、结构组成:
所属分类:
其它
发布日期:2011-09-27
文件大小:221184
提供者:
xiameikaoshi
全屏幕秒表倒计时软件
用途 秒表软件,准确到百分之一秒 倒计时软件,可以设定时、分、秒倒计时。可用于比赛、辩论、演讲、会议倒计时提醒等场合 计数软件,读秒软件 系统时钟软件,全屏大字体,可作为屏幕保护使用 软件特征 绿色软件、无插件、无需安装,软件大小不超过80K 大字体,全屏显示下,10米清晰可见 支持多种色彩显示 支持多个计时器同时工作 使用说明 1、运行执行程序 ledc o u n t.exe 2、设定运行参数 3、F11切换全屏 4、1~0数字按键开始或暂停,F2停止,F3输出结果到文本文件
所属分类:
教育
发布日期:2013-01-29
文件大小:105472
提供者:
freeming3510
数字秒表的设计
十进制计数器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity count10 is port(clr,start,clk: in bit; cout: out bit; library ieee; daout: out std_logic_vector(3 downto 0)); end count10; architecture a of count10 is signal
所属分类:
软件测试
发布日期:2013-04-02
文件大小:36864
提供者:
u010135971
GDI 绘制时钟
GDI 时钟 日历 闹钟 铃声设定 百分之一秒表(由于timer计时不精确,故采用系统毫秒数加算法优化。) 托盘运行
所属分类:
C#
发布日期:2013-05-17
文件大小:9437184
提供者:
silentlht
EDA数字秒表
1.利用VHDL语言设计基于计算机电路中时钟脉冲原理的数字秒表。该秒表计时范围为0秒~59分59.99秒,显示的最长时间为59分59秒,计时精度为10毫秒,并且具有复位功能。复位开关一旦打开所有位都为0。 2.秒表有共有6个输出显示,分别为百分之一秒、十分之一秒、秒、十秒、分、十分,所以共有6个计数器与之相对应,6个计数器的输出全都为BCD码输出,这样便与同显示译码器的连接。
所属分类:
专业指导
发布日期:2014-06-27
文件大小:212992
提供者:
u010383172
basys3_VHDL_数字秒表
它具有计时功能。此秒表有两个按键(reset, start)按下reset键后,秒表清零,按下start键后,开始计时, 再次按下start键后, 停止计时, 用FPGA开发板上的两个七段数码管显示时间(以秒为单位),计时由0 到 59 循环。 高级要求(可选):实现基本要求的前提下,增加一个按键(select),用于轮流切换两个七段数码管分别显示百分之一秒,秒,分钟。 规格说明: 1.通过按下reset键(异步复位),将秒表清零,准备计时,等检测到start键按下并松开后,开始计时 。如果再
所属分类:
其它
发布日期:2016-04-21
文件大小:1048576
提供者:
u014286918
课程设计报告含代码 秒表
这是本人的课程设计报告,内含各个模块的完整代码及仿真截图,功能如下:此秒表有两个按键(reset, start)按下reset键后,秒表清零,按下start键后,开始计时, 再次按下start键后, 停止计时, 用FPGA开发板上的两个七段数码管显示时间(以秒为单位),计时由0 到 59 循环。 高级要求(可选):实现基本要求的前提下,增加一个按键(select),用于轮流切换两个七段数码管分别显示百分之一秒,秒,分钟。 规格说明: 1.通过按下reset键(异步复位),将秒表清零,准备计时,
所属分类:
其它
发布日期:2017-03-18
文件大小:204800
提供者:
laugh_and_love
FPGA电子秒表设计
设计一个简单的电子秒表(按一下钮启动,再按一个停止,再按一下清零,周而复始。)最小计时单位0.01S,即可显示XX.XX(秒、百分之一秒)
所属分类:
硬件开发
发布日期:2008-12-18
文件大小:18432
提供者:
sallie0219
微机原理课程设计(电子秒表的)
一、 设计任务 1、 计时秒表,具有分、秒和百分之一秒的计时功能。 2、 可以在屏幕中央显示计时结果,屏幕显示彩色图案和文字。 3、 秒表具有键控启/停功能,而且可以随时通过键盘复位,清零。
所属分类:
专业指导
发布日期:2009-01-03
文件大小:179200
提供者:
user624
微机课设电子数字秒表
接口技术课程设计,电子秒表可实现以百分之一计数,即计数精确到0.01秒,用八位数码管显示,并且可以实现开始、暂停、清零等操作,功能齐全。
所属分类:
讲义
发布日期:2018-07-21
文件大小:2097152
提供者:
qq_36674128
基于CD4033的数字秒表的电路图及制作
此款秒表采用发光数码管显示,计时精度为百分之一秒,最大计时时间为9.99s
所属分类:
其它
发布日期:2020-08-26
文件大小:131072
提供者:
weixin_38639237
GUI-Stopwatch:使用python和图形用户界面编写的秒表应用-源码
GUI秒表 描述 使用python和图形用户界面编写的秒表应用程序。 特征 调整窗口大小后,GUI将保持其形状 能够测量转数/圈数 由于文本框可滚动,因此可以创建很多回合 按下“开始”按钮后,秒表将自行恢复,而不是重置 启动时,“开始/停止”按钮将转换为“停止/开始”按钮 计时器的刷新周期为15毫秒,因此看起来很平稳且连续。而且,它可以轻松测量到百分之一秒的时间 干净简单的深色设计 屏幕截图
所属分类:
其它
发布日期:2021-03-21
文件大小:574464
提供者:
weixin_42119866
StopWatch_SoC:基于FPGA的SoPC设计,用于计数和查看秒和百分之一秒-源码
秒表_SoC 20/10/2019 基于FPGA的SoPC的设计,用于计数和查看秒和百分之一秒。 SoPC的概念是基于FPGA的计算机和视觉化的第二部分及第二位的概念。 语言和工具:VHDL,嵌入式C,Quartus II,FPGA Altera DE1 Cyclone II 有关更多详细信息,请检查以下文件:SoC_stopwatch / stopwatch.html
所属分类:
其它
发布日期:2021-02-20
文件大小:10485760
提供者:
weixin_42116805
«
1
2
»