您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 实现00-99按键计数器

  2. 1、掌握数码管的动态扫描原理 2、掌握单片机对按键的控制原理 程序详细功能介绍: 具体的硬件结构,大家可以看 WS 系列产品的原理图 利用AT89S51单片机来制作一个手动计数器,在AT89S51单片机的P3.3管脚接一个轻触开关, 作为手动计数的按钮. 数码管驱动采用动态扫描方式用单片机的P0.0-P0.7驱动数码管的段码, P1.3 控制个位数码管的共阳极公共端,P1.2 控制十位数码管的共阳极公共端 大家可自行修改程序,扩展到0000~9999的计数范围
  3. 所属分类:硬件开发

    • 发布日期:2009-06-16
    • 文件大小:33792
    • 提供者:chhui
  1. 74系列IC带管脚图

  2. 4系列芯片功能大全 7400 TTL 2输入端四与非门 7401 TTL 集电极开路2输入端四与非门 7402 TTL 2输入端四或非门 7403 TTL 集电极开路2输入端四与非门 7404 TTL 六反相器 7405 TTL 集电极开路六反相器 7406 TTL 集电极开路六反相高压驱动器 7407 TTL 集电极开路六正相高压驱动器 7408 TTL 2输入端四与门 7409 TTL 集电极开路2输入端四与门 7410 TTL 3输入端3与非门 74107 TTL 带清除主从双J-K触发
  3. 所属分类:嵌入式

    • 发布日期:2009-07-26
    • 文件大小:703488
    • 提供者:yan131423yong
  1. Verilog实例(经典135例)

  2. 很实用的Verilog实例! 目录:王金明:《Verilog HDL程序设计教程》程序例子,带说明。 【例 3.1】4 位全加器 【例 3.2】4 位计数器 【例 3.3】4 位全加器的仿真程序 【例 3.4】4 位计数器的仿真程序 【例 3.5】“与-或-非”门电路 【例 5.1】用 case语句描述的 4 选 1 数据选择器 【例 5.2】同步置数、同步清零的计数器 【例 5.4】用 initial过程语句对测试变量 A、B、C 赋值 【例 5.5】用 begin-end 串行块产生信号波
  3. 所属分类:嵌入式

    • 发布日期:2009-09-08
    • 文件大小:130048
    • 提供者:kevinsjtu
  1. VHDL实验段数码管译码器设计与实现

  2. 一.实验目的 1. 掌握7段数码管译码器的设计与实现 2. 掌握模块化的设计方法 二.实验内容 设计一个7段数码管译码器,带数码管的4位可逆计数器 [具体要求] 1. 7段数码管译码器 使用拨码开关SW3, SW2, SW1, SW0作为输入,SW3为高位,SW0为低位。 将输出的结果在HEX1,HEX0显示。当输入为‘0000’~‘1111’显示为00~15, 2. 带数码管的4位可逆计数器 将实验三的结果在数码管上显示。结合上次实验,将4位可逆计数器,数码管显示,分别作为两个子模块,实现在
  3. 所属分类:专业指导

    • 发布日期:2010-03-31
    • 文件大小:1024
    • 提供者:woshishuiaabbb
  1. 基于AT89C51单片机的工件计数器

  2. 工件计数器设计要求是利用单片机的T0口,外接一个微动开关,模拟有工件通过时,微机开关动作,单片机计数,并通过P1.0—P1.3外接的四个LED发光管以BCD码的方式显示工件数量。编写程序,调试运行,模拟有工件通过时,单片机计数,并显示工作数量。
  3. 所属分类:硬件开发

    • 发布日期:2010-05-24
    • 文件大小:233472
    • 提供者:jinyaofeng
  1. 数字逻辑 课程设计 篮球计时器 VHDL源码

  2. 课程设计中的篮球计时器题目 (1)篮球比赛上下半场各20分钟,要求能随时暂停,启动后继续计时,一场比赛结束后应可清零重新开始比赛。 (2)计时器由分、秒计数器完成,秒计数器为模60,分计数器应能计至40分钟。 (3)“分”、“秒”显示用LED数码管,应配用相应译码器。 (4)人工拨动开关来控制计时器的启动/暂停。 (5)半场、全场到自动会有相应的提示
  3. 所属分类:专业指导

    • 发布日期:2010-12-14
    • 文件大小:2048
    • 提供者:wzkaka0525
  1. 单片机 dns图+实例+c源码 proteus仿真

  2. 单片机 dns图+实例+c源码 有dsn图 方便进行proteus流水灯 led 中断 定时/计数器 60s倒计时 按键发声 数码管动态显示 单片机与进步电机接口技术 单片机间通信 单片机与pc间的通信
  3. 所属分类:硬件开发

    • 发布日期:2011-03-14
    • 文件大小:4194304
    • 提供者:y1096213112
  1. verilog HDL设计实例

  2. 【例 3.1】4 位全加器 【例 3.2】4 位计数器 【例 3.3】4 位全加器的仿真程序 【例 3.4】4 位计数器的仿真程序 【例 3.5】“与-或-非”门电路 【例 5.1】用 case语句描述的 4 选 1 数据选择器 【例 5.2】同步置数、同步清零的计数器 【例 5.4】用 initial过程语句对测试变量 A、B、C 赋值 【例 5.5】用 begin-end 串行块产生信号波形 【例 5.6】用 fork-join 并行块产生信号波形 【例 5.7】持续赋值方式定义的 2 选
  3. 所属分类:专业指导

    • 发布日期:2011-06-14
    • 文件大小:158720
    • 提供者:wwe12580
  1. 51单片机外中断实验C源码

  2.  51单片机外中断实验C源码,两个实验:1、外中断计数2位数码管显示;2、外中断计数器。用LED数码管显示计数器T0的计数值,包含51单片机寄存器定义的头文件。
  3. 所属分类:C++

    • 发布日期:2011-11-04
    • 文件大小:23552
    • 提供者:gouyue
  1. php圣经源码

  2. php圣经这本书很少有人不知道,对于新手来说,这是必读的一本书,下面是章节介绍: 第一章 PHP 介绍 1.来龙去脉 2.功能概述 3.PHP 与其它 CGI 的比较 编辑本段第二章 安装与设置 1.环境需求与准备工作 2.快速设置及安装 3.PHP 的编译设置细部选项 4.php.ini 设置细部选项 5.如何写作 PHP 程序 第三章 语法 1.语法简述 1.hello, world 2.嵌入方法 3.引用文件 4.程序注解 2.常数与变量 1.常数型态 2.变量型态 3.变量的使用 3.
  3. 所属分类:PHP

    • 发布日期:2012-10-16
    • 文件大小:1048576
    • 提供者:lihailin000000
  1. C语言-仿真实现四位数码管计数器.rar

  2. 多位数码管的使用及C 语言控制按键的使用以仿真实现按键控制四位数码管显示1949~2010 数据,多为数码管显示C 语言编程
  3. 所属分类:C

    • 发布日期:2014-04-24
    • 文件大小:78848
    • 提供者:qq_14893973
  1. vhdl 8421码计数器 完整工程包

  2. 利用quartus的VHDL文件,完成对时钟的上升沿的计数。采用8421码异步十进制计数。简单,适合初学者
  3. 所属分类:专业指导

    • 发布日期:2014-05-29
    • 文件大小:160768
    • 提供者:sonia_19935
  1. 《 Verilog HDL 程序设计教程》135例,源码

  2. 《 Verilog HDL 程序设计教程》135例; 。【例 3.1】4 位全加器 【例 3.2】4 位计数器 【例 3.3】4 位全加器的仿真程序 【例 3.4】4 位计数器的仿真程序 【例 3.5】“与-或-非”门电路 【例 5.1】用 case语句描述的 4 选 1 数据选择器 【例 5.2】同步置数、同步清零的计数器 【例 5.4】用 initial过程语句对测试变量 A、B、C 赋值 【例 5.5】用 begin-end 串行块产生信号波形 【例 5.6】用 fork-join 并行
  3. 所属分类:硬件开发

    • 发布日期:2015-05-27
    • 文件大小:130048
    • 提供者:feng1o
  1. 数码管,仪表、炫酷开关、温度计、计数器等控件

  2. 数码管,仪表、炫酷开关、温度计、计数器等控件只需把dll拖到工具箱即可使用,所有demo,控件源码已打包.
  3. 所属分类:C#

    • 发布日期:2017-12-13
    • 文件大小:2097152
    • 提供者:lw8014
  1. 计数器数码管实现.zip

  2. 利用单片机内部寄存器TOMD的计数器实现通过按键点击一次或者多次使数码管0-9显示,超出显示范围重新循环,比较适合小白,刚刚学习单片机的定时器和计数器这一块。里面包括proteus电路和keil源码
  3. 所属分类:制造

    • 发布日期:2020-04-19
    • 文件大小:16384
    • 提供者:fygfjl
  1. 奥托尼克斯FM/LM计数器样本.pdf

  2. 奥托尼克斯FM/LM计数器样本pdf,提供“奥托尼克斯FM/LM计数器样本”下载,资料主要介绍了FM/LM计数器的特点、规格参数、参数设置及使用方法等,可供选型及使用时参考。FM/LM系列 口外形尺寸图 ●FM系列 面板开孔尺寸 102 23 Min, 91 回回回回 (单位 ●LM系列 面板孔尺寸 Min. 170 144 102 3456 Min 170 到4 吗吗吗出些 (单位:rm 口输入连接 ◎无电压输入(NPN) ●无接点输入(标准输入传感器:N\输出型传感器) ●接点输入 传感器
  3. 所属分类:其它

    • 发布日期:2019-10-15
    • 文件大小:1048576
    • 提供者:weixin_38744153
  1. 单片机计数器C语言练习

  2. 要求:编写一个计数器程序,将T0作为计数器来使用,对外部信号计数,将所计数字显示在数码管上。 该部分的硬件电路如图所示,U1的P0口和P2口的部份引脚构成了6位LED数码管驱动电路,数码管采用共阳型,使用PNP型三极管作为片选端的驱动,所有三极管的发射极连在一起,接到正电源端,它们的基极则分别连到P2.0…P2.5,当P2.0…P2.5中某引脚输是低电平时,三极管导通,给相应的数码管供电,该位数码管点亮哪些笔段,则取决于笔段引脚是高或低电平。图中看出,所有6位数码管的笔段连在一起,通过限流电阻
  3. 所属分类:其它

    • 发布日期:2020-07-13
    • 文件大小:186368
    • 提供者:weixin_38737144
  1. CD4026驱动共阳极数码管的接法

  2. CD4026是一款同时兼备十进制计数和七段译码两大功能的芯片,通常在CP脉冲的作用下为共阴极七段LED数码管显示提供输入信号。在一些无需预置数的电子产品中得到了广泛的应用,节约了开发成本。由于CD4026输出端信号具有规律可循,经合理反馈后获得进位脉冲信号和本位清零信号,即可实现数字钟计时功能。 CD4026同时具有显示译码功能,可将计数器的十进制计数转换为驱动数码管显示的七位显示码,省去了专门的显示译码器。CD4026的输出abcdefg直接与LED数码管连接。CD4026的CR为异步清零端
  3. 所属分类:其它

    • 发布日期:2020-07-12
    • 文件大小:88064
    • 提供者:weixin_38670208
  1. 51单片机外中断实验C源码

  2. 摘要:VC/C++源码,系统相关,51单片机  51单片机外中断实验C源码,两个实验:1、外中断计数2位数码管显示;2、外中断计数器。用LED数码管显示计数器T0的计数值,包含51单片机寄存器定义的头文件。
  3. 所属分类:其它

    • 发布日期:2021-03-17
    • 文件大小:23552
    • 提供者:weixin_38751905
  1. kineto:一个CPU + GPU分析库,提供对时间线跟踪和硬件性能计数器的访问-源码

  2. 坚人 Kineto是一个PyTorch性能分析库和框架,致力于为生产工作负载提供低开销的全系统检测。 目前,它由libkineto组成,libkineto是与PyTorch集成的进程内分析库。 但是,未来将添加其他相关组件,例如基于达米安的部署和跟踪处理管道的基础架构。 什么是libkineto? Libkineto是整个Kineto项目的组成部分,是一个进程内分析库,该库还提供了C ++ API。 请参考libkineto文件夹中的文件。 计划首次发布: libkineto,一个进程内库,
  3. 所属分类:其它

    • 发布日期:2021-02-11
    • 文件大小:4194304
    • 提供者:weixin_42131342
« 12 3 4 5 6 7 »