您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. Verilog 硬件语义

  2. Verilog 硬件语义写本文的初衷是为了使对Verilog HDL有初步了解的读者,能够进一步的了解VHDL与综合后的硬件之间的映射关系,从而把握VHDL的应用规则,改善代码风格,写出高效,可综合的代码.全文共分三个部分:VHDL的基本知识VHDL从结构语言到门级映射模型的优化
  3. 所属分类:专业指导

    • 发布日期:2007-08-24
    • 文件大小:506880
    • 提供者:jlctt
  1. verilog浅谈硬件语义

  2. 夏宇闻verilog经典教程的又一作品,描述了verilog语言中硬件体系的建设等问题
  3. 所属分类:专业指导

    • 发布日期:2009-07-28
    • 文件大小:506880
    • 提供者:cmcits
  1. Verilog HDL硬件描述语言

  2. Verilog HDL硬件描述语言 Verilog HDL是一种硬件描述语言,用于从算法级、门级到开关级的多种抽象设计层次的 数字系统建模。被建模的数字系统对象的复杂性可以介于简单的门和完整的电子数字系统之 间。数字系统能够按层次描述,并可在相同描述中显式地进行时序建模。 Verilog HDL 语言具有下述描述能力:设计的行为特性、设计的数据流特性、设计的结构 组成以及包含响应监控和设计验证方面的时延和波形产生机制。所有这些都使用同一种建模 语言。此外, Verilog HDL语言提供了编程
  3. 所属分类:嵌入式

    • 发布日期:2009-09-08
    • 文件大小:9437184
    • 提供者:lzflxq
  1. VeriLog硬件语义

  2. VeriLog代码用法基本知识. 很好的资料
  3. 所属分类:专业指导

    • 发布日期:2010-04-25
    • 文件大小:506880
    • 提供者:zhli11
  1. Verilog 硬件语义.pdf

  2. 原作者不详,讲的很好,资源共享,和电路联系的不错,使程序和电路有了桥梁
  3. 所属分类:专业指导

    • 发布日期:2010-06-02
    • 文件大小:506880
    • 提供者:xdj190704
  1. verilog黄金参考指南中文版

  2. 黄金参考指南是Verilog 硬件描述语言及其语法语义合并以及将它应用到硬件设计的一个简明的快速参考指南。
  3. 所属分类:专业指导

    • 发布日期:2010-10-05
    • 文件大小:523264
    • 提供者:maojng
  1. 硬件描述语言 Verilog

  2. Verilo 硬件描述语言 Verilog HDL 基本语法 Verilog HDL 硬件语义 Verilog经典教程(夏雨闻 verilog黄金参考指南中文版
  3. 所属分类:硬件开发

    • 发布日期:2011-03-21
    • 文件大小:7340032
    • 提供者:kingsonn
  1. verilog 硬件语义

  2. 使已经对verilog HDL有初步了解的读者,能够可以更进一步的了解Verilog HDL与综合后的硬件之间的映射关系,从何更好的把握Verilog HDL的应用规则,改善代码风格,写出高效,可综合的代码
  3. 所属分类:硬件开发

    • 发布日期:2011-07-17
    • 文件大小:506880
    • 提供者:jackyyoung2006
  1. Verilog HDL 硬件语义

  2. Verilog HDL 硬件语义 教程精华 教师讲义
  3. 所属分类:硬件开发

    • 发布日期:2013-05-31
    • 文件大小:506880
    • 提供者:doubagui
  1. Verilog 硬件语义

  2. 描述了verilog语言中硬件体系的建设等问题
  3. 所属分类:硬件开发

    • 发布日期:2013-09-21
    • 文件大小:506880
    • 提供者:kobesdu
  1. Verilog HDL硬件描述语言入门

  2. 非常经典!学习verilog的必备!Verilog HDL是一种硬件描述语言,用于从算法级、门级到开关级的多种抽象设计层次的 数字系统建模。被建模的数字系统对象的复杂性可以介于简单的门和完整的电子数字系统之 间。数字系统能够按层次描述,并可在相同描述中显式地进行时序建模。 Verilog HDL 语言具有下述描述能力:设计的行为特性、设计的数据流特性、设计的结构 组成以及包含响应监控和设计验证方面的时延和波形产生机制。所有这些都使用同一种建模 语言。此外, Verilog HDL语言提供了编程
  3. 所属分类:讲义

    • 发布日期:2015-01-16
    • 文件大小:4194304
    • 提供者:sixhaveone
  1. Verilog 硬件语义

  2. Verilog 硬件语义
  3. 所属分类:硬件开发

    • 发布日期:2016-08-04
    • 文件大小:506880
    • 提供者:caixupual
  1. FPGA硬件语义 入门经典

  2. FPGA 入门必看 FPGA硬件语义 PDF文件
  3. 所属分类:硬件开发

    • 发布日期:2008-12-25
    • 文件大小:466944
    • 提供者:weiwen123
  1. verilog硬件语义

  2. 就是说明了verilog中那些语句是可综合的,以及每一条语句对应的电路。
  3. 所属分类:专业指导

    • 发布日期:2007-07-18
    • 文件大小:506880
    • 提供者:hzm0421
  1. 浅析Verilog HDL硬件语义

  2. 使对Verilog HDL有初步了解的读者,能够进一步了解Verilog HDL与综合后的硬件之间的映射关系,从而把握Verilog HDL的应用规则,改善代码风格,写出高效可综合的代码。
  3. 所属分类:硬件开发

    • 发布日期:2018-12-12
    • 文件大小:532480
    • 提供者:charmingjy
  1. SOA从整合软件功能向管理企业硬件转变

  2. 目前,在系统管理行业中出现了一股创建面向服务的管理协议的推动力。WS-Man是在SOA顶层运行,规定了以XML为基础的信息,可以定义句子构造、语义并且在系统管理行业中广泛运用使SOA易于管理。因此,支持WS-Man协议的设备将拥有一个面向服务管理界面。而根据用户和设备的不同,各种方案就能通过整合这些松散联接的服务建立起来。
  3. 所属分类:其它

    • 发布日期:2020-03-03
    • 文件大小:22528
    • 提供者:weixin_38601878
  1. HMTT:混合硬件/软件跟踪系统,用于弥合DRAM访问跟踪的语义鸿沟

  2. HMTT:混合硬件/软件跟踪系统,用于弥合DRAM访问跟踪的语义鸿沟
  3. 所属分类:其它

    • 发布日期:2021-03-19
    • 文件大小:1048576
    • 提供者:weixin_38691669
  1. 代码折射器:第一个硬件分配-源码

  2. 项目README至少需要一个标题和简短说明,以说明内容,原因和方式。 你的动机是什么? 你为什么建立这个项目? (注意:答案不是“因为这是一项家庭作业”。)它可以解决什么问题? 你学到了什么? 是什么让您的项目脱颖而出? 如果您的项目具有很多功能,请考虑添加一个名为“功能”的标题并在此处列出。 自述文件 对于此任务,我们的任务不仅是为屏幕阅读器清理代码,而且还使那些可能难以浏览代码迷宫的人可以更轻松地访问它。 我不仅要使代码本身看起来不错,而且还要确保所有元素都有意义并且所有语义都已正确放置。
  3. 所属分类:其它

    • 发布日期:2021-02-14
    • 文件大小:49283072
    • 提供者:weixin_42148975
  1. 重构硬件-源码

  2. 重构硬件 该项目的目的是审查提供的代码,并使用语义html元素对其进行更新。 该页面包括需要从纯div更改为更具描述性的语义html的各个段。 提供了样式表,但是需要一个新的样式表来适当地链接新的语义代码。 如何访问工作 我将提供项目的URL以及git hub URL。 需要查看的东西 index.html是一个链接到样式表(asssets / css / style.css)和images文件夹的文件。 这三个文件都是必需的。 挑战与观察 这项工作使我可以更好地了解index.html文件和s
  3. 所属分类:其它

    • 发布日期:2021-02-12
    • 文件大小:49283072
    • 提供者:weixin_42131013
  1. arrow:Apache Arrow是用于内存数据的跨语言开发平台。 它为平面和分层数据指定了一种与语言无关的标准化列式存储格式,该格式组织用于在现代硬件上进行有效的分析操作。 它还提供计算库和零复制流式消息传递和进程间通信。 当前支持的语

  2. 阿帕奇箭 增强内存分析能力 Apache Arrow是用于内存分析的开发平台。 它包含一组使大数据系统能够快速处理和移动数据的技术。 该项目的主要组成部分包括: :标准和有效的内存中各种数据类型的表示形式,包括纯或嵌套 :Arrow格式和相关元数据的有效序列化,用于进程和异构环境之间的通信 :基于Arrow IPC格式,是用于远程服务与应用程序定义的语义交换Arrow数据(例如,存储服务器或数据库)的构件 :基于的Arrow表达式编译器,属于C ++代码库 :共享内存Blob存储,属于C
  3. 所属分类:其它

    • 发布日期:2021-02-08
    • 文件大小:11534336
    • 提供者:weixin_42166918
« 12 3 4 5 6 7 8 »