您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. Verilog HDL硬件描述语言.rar

  2. 目 录 译者序 前言 第1章 简介 1 1.1 什么是Verilog HDL? 1 1.2 历史 1 1.3 主要能力 1 第2章 HDL指南 4 2.1 模块 4 2.2 时延 5 2.3 数据流描述方式 5 2.4 行为描述方式 6 2.5 结构化描述形式 8 2.6 混合设计描述方式 9 2.7 设计模拟 10 第3章 Verilog语言要素 14 3.1 标识符 14 3.2 注释 14 3.3 格式 14 3.4 系统任务和函数 1 5 3.5 编译指令 15 3.5.1 `defi
  3. 所属分类:C++

    • 发布日期:2009-09-15
    • 文件大小:3145728
    • 提供者:wsedwsed
  1. 开发DSP硬件驱动程序的一种方法

  2. 提出一种开发TI公司DSP片内及片外硬件外设驱动程序的方法。利用CCS应用环境中集成的实时操作系统DSP/B10S,配置其中的设备驱动模块为10M(I/0 Mini—driver)模式,构建应用程序与硬件外设输入输出的数据接口,将应 用程序与硬件驱动程序相对独立。硬件驱动程序以函数库的形式被封装起来,应用程序不关心底层硬件外设的具体操作,通过调用DSP/BIOS相关的标准API实现功能。由此,应用程序的通用性、可移植性大大加强。本文以C5000 DSP的McBSP/DMA及USB驱动程序开发为
  3. 所属分类:硬件开发

    • 发布日期:2009-10-11
    • 文件大小:182272
    • 提供者:lele52141
  1. 智能仪表原理与设计技术

  2. 原理组成,电路设计,人机界面,模拟量输入输出,开关量输入输出,现场总线,蓝牙,以太网,电力线载波,硬件抗干扰,监控软件,智能算法,虚拟仪器技术
  3. 所属分类:其它

    • 发布日期:2009-10-14
    • 文件大小:5242880
    • 提供者:lianxiwang2008
  1. 计算机硬件课程 课件 自学

  2. 计算机硬件课程 第1章概论 第2-1章运算方法和运算部件 第2-2数据校验码 第3章微处理器 第4章指令系统 第5章汇编语言 第6章 存储系统 第7章输入输出接口 第8章 中断 第9章总线结构
  3. 所属分类:硬件开发

    • 发布日期:2009-12-29
    • 文件大小:9437184
    • 提供者:qq735823707
  1. 直接访问键盘控制芯片获取键盘记录

  2. 如果要截取QQ这种hook键盘中断处理程序的保护技术,像全局钩子、驱动过滤钩子等技术都无能为力了——但是,如果我们能够直接指导硬件的输出地址的话,嘿嘿...
  3. 所属分类:嵌入式

    • 发布日期:2008-03-02
    • 文件大小:209920
    • 提供者:boluo1982107
  1. 基于ARM9的模拟输入输出接口驱动程序的设计

  2. 设计ARM9核CPUS3C2410的模拟输入输出接口驱动程序。该程序在硬件上需要74LS244,74LS273等接口芯片的支持。程序主要功能: 1. 通过CPU并行数据总线读取外部数据。 2. 将读取的数据输出,控制LED显示灯的亮灭。 要求用C语言实现。使用ADS1.2开发环境。利用嵌入式实验系统实现硬件仿真。上位机通讯程序可选用WINDOWS操作系统自带的“超级终端”软件或实验系统自带的“串口助手”软件。
  3. 所属分类:嵌入式

    • 发布日期:2014-01-04
    • 文件大小:827392
    • 提供者:u011323578
  1. 汇编基本输入输出程序

  2. TD-PIT+实验系统的汇编与硬件练习程序,74LS245与74LS374构成输入输出端口
  3. 所属分类:硬件开发

    • 发布日期:2008-11-13
    • 文件大小:968
    • 提供者:drakiller
  1. SIMATIC 带 Time-based IO 的高精度输入/输出[手册].pdf

  2. SIMATIC 带 Time-based IO 的高精度输入/输出[手册]pdf,前言 本文档的用途 本功能于册会在您将“Time- based|O技术与S7-1500、ET200MP和ET200SP 系统配合使用时为您提供支持。 本文档涵盖以下主题: ·使用“Time- based|O”技术 Time-based Io”技术的功能 “Time- based io”的硬件和软件要求 ·通过STEP7( TIA Porta)组态Time- based lo功能 ·“Time- based o'功能
  3. 所属分类:其它

    • 发布日期:2019-10-31
    • 文件大小:2097152
    • 提供者:weixin_38744375
  1. 永宏 PLC进阶应用篇 第20章 : FBs-4A2D模拟量输入/输出模块.pdf

  2. 永宏 PLC进阶应用篇 第20章 : FBs-4A2D模拟量输入/输出模块pdf,永宏 PLC进阶应用篇 第20章 : FBs-4A2D模拟量输入/输出模块流水号累加,总数可达64点,分別对应到PLC内部的数值输出缓存帶(以下简称为OR缓存 器)R3904~R3967。用户只需要扩接FBs-4A2D到PLC扩展界面,FBS-PLC便会自动检 测输入/输出点数。下表的数值输入/输出缓存器, Win Proladder在与PLC联机后会自动检测 并计算(请参考 Win Proladder用户手册第1
  3. 所属分类:其它

    • 发布日期:2019-10-18
    • 文件大小:368640
    • 提供者:weixin_38743968
  1. 科日新 KPCI-848开关量输入输出卡使用说明书.pdf

  2. 科日新 KPCI-848开关量输入输出卡使用说明书pdf,科日新 KPCI-848开关量输入输出卡使用说明书 ]C板卡 芯插座 外供电源正 外供电源 注:20;30端子是扮入端子 其他捡入端子也应同样接线 KCI板卡 3芯插座 -|外供电漫正 负载 外供电源 oUT2 外供吧1 注:图中蓬20端子是输出端子的一个示例 其悒扮出端子也应同样接线 加新硬件向导 此向导搜索下列没备的新驱动程序 PCI Bridge 设备驱动程序就是驱动硬件设备的软件。 上一步 步 取消 汤加新硬件向导 希望ind
  3. 所属分类:其它

    • 发布日期:2019-10-14
    • 文件大小:321536
    • 提供者:weixin_38744435
  1. 科日新 KPCI-845 开关量输入输出卡使用说明书.pdf

  2. 科日新 KPCI-845 开关量输入输出卡使用说明书pdf,科日新 KPCI-845 开关量输入输出卡使用说明书光隔廾关量输入输出卡使用说明书 第页 第三章元件位置图、信号输入输出插座定义 输入输出插座接口定义: 插座接凵定义见表。 表插座接口定义 捕引脚号信号定义插座引脚号」信号定义二 输入信号公共高端 空 输入信号公共高端 插座接∏定义见衣。 表 插座接口定义 插座引脚弓信弓定义插壓引脚号信号定义 外供电源止 外供电源地 外供电源地 光隔廾关量输入输出卡使用说明书 第页 供电源正 外供电源
  3. 所属分类:其它

    • 发布日期:2019-10-14
    • 文件大小:231424
    • 提供者:weixin_38743481
  1. 16路输入输出触摸屏控制硬件和触摸控制软件-触摸屏程序.zip

  2. 16路输入输出触摸屏控制硬件和触摸控制软件-触摸屏程序.zip
  3. 所属分类:其它

    • 发布日期:2019-09-03
    • 文件大小:3145728
    • 提供者:weixin_38744153
  1. 16路输入输出触摸屏控制硬件和触摸控制软件-控制主板程序.zip

  2. 16路输入输出触摸屏控制硬件和触摸控制软件-控制主板程序.zip
  3. 所属分类:其它

    • 发布日期:2019-09-03
    • 文件大小:50176
    • 提供者:weixin_38743968
  1. 16路输入输出触摸屏控制硬件和触摸控制软件-触摸屏电路.zip

  2. 16路输入输出触摸屏控制硬件和触摸控制软件-触摸屏电路.zip
  3. 所属分类:其它

    • 发布日期:2019-09-03
    • 文件大小:1048576
    • 提供者:weixin_38743737
  1. PLC输入/输出点数的“扩展”方法

  2. 本文从硬件、软件两个方面介绍在不增加硬件情况下“扩展”plci/o点数的几种方法。
  3. 所属分类:其它

    • 发布日期:2020-07-31
    • 文件大小:72704
    • 提供者:weixin_38729108
  1. 单片机与DSP中的单片机常用输入/输出电路设计方法

  2. 摘要 针时电气控制产品的特点,讨论了几种单片机常用输入/输出电路的设计方法,对合理地设计电气控制系统,提高电路的接口能力,增强系统稳定性和抗干扰能力有实际指导意义。   引 言   随着微电子技术和计算机技术的发展,原来以强电和电器为主、功能简单的电气设备发展成为强、弱电结合,具有数字化特点、功能完善的新型微电子设备。在很多场合,已经出现了越来越多的单片机产品代替传统的电气控制产品。属于存储程序控制的单片机,其控制功能通过软件指令来实现,其硬件配置也可变、易变。因此,一旦生产过程有所变动,就
  3. 所属分类:其它

    • 发布日期:2020-11-07
    • 文件大小:191488
    • 提供者:weixin_38720173
  1. 嵌入式系统/ARM技术中的PXI总线数字输入/输出模块的设计与实现

  2. PXI总线数字输入/输出模块的设计与实现 [日期:2005-8-23] 来源:电子技术应用  作者:谢志刚 陈自力 李 猛 薛向荣 [字体:大 中 小]       摘要:描述了基于PXI总线的数字输入/输出模块的特点和原理,以及用PCI9030接口芯片和FPGA器件实现的PXI总线接口电路,提出了数字输入/输出电路及高精度延时补偿电路的实现方法。实践证明系统原理和硬件设计是成功的。     关键词:PXI总线 数字输入/输出 PCI9030 FPGA器件 PXI总线是
  3. 所属分类:其它

    • 发布日期:2020-12-13
    • 文件大小:105472
    • 提供者:weixin_38602982
  1. 采用输入输出分解的分区分段演化机制

  2. 针对演化硬件的可扩展性问题,提出了基于输入输出分解的分区分段并行在线演化机制,用于演化组合逻辑电路。依据输入输出分解策略,将原电路分解为多个具有较少输入、输出的子电路,并对各子电路单独分配进化区域,实现各子电路的并行演化;某些子电路演化完毕,其对应进化区域即可用于其他任何未演化完毕子电路的并行演化;所有子电路均演化成功后,将其进行整合得到顶层电路。在Xilinx Virtex-5 FX构建的自演化系统上,以加法器电路、乘法器电路和部分MCNC基准电路为例进行了验证。结果表明:相对于经典演化方法,
  3. 所属分类:其它

    • 发布日期:2021-03-18
    • 文件大小:405504
    • 提供者:weixin_38629873
  1. 网络控制串行输入/输出接口的选择

  2. 采用最新计算机技术来改进企业生产管理时,不可忽视的是需要在设备之间提供低电平控制和检测的可靠的通信功能。这些设备的接口通常是串行接口,往往需要选择一个适当的输入/输出卡(I/O)用来扩展所选择的计算机系统。  选择I/O扩展卡应事前考虑好,因为这样做对于任何数据的获取和系统的控制都是至关重要的。在对多个硬件和软件进行比较的前提下再选择何种主流串行I/O。特别要加以注意的是,正确地选择电气接口、电路保护、串行缓冲口容量,以及软件驱动程序可根本上决定设计的成功与否。电气接口的选择  目前应用最广泛的
  3. 所属分类:其它

    • 发布日期:2021-02-03
    • 文件大小:91136
    • 提供者:weixin_38705640
  1. 基于DDR DRAM控制器实现MPMA存取输入/输出端口的设计

  2. 1、引言  随着信息时代的到来,各种信息的集成和交互越来越频繁。运动控制系统中需要处理和存储的信息量也与日俱增,大部分运动控制系统的器件MCU自身已经集成了较大容量的存储器(与以前MCU相比),但仅仅依靠MCU自身的存储器一般很难满足系统对大容量存储的要求,因此必须找到高效的方法实现对系统存储容量的扩展。  SPI是一个高效、数据位数可编程设置的高速输入/输出串行接口,几乎所有MCU生产厂商都提供对SPI接口的支持,目前高速SPI接口的时钟频率已达到60MHz甚至更高,SPI接口一般只用4根连接
  3. 所属分类:其它

    • 发布日期:2021-01-20
    • 文件大小:242688
    • 提供者:weixin_38626179
« 12 3 4 5 6 7 8 9 10 ... 50 »