您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 算术逻辑部件设计

  2. ALU设计 超前进位链方式往上下的,共享出来,请尊重原作版权!!!
  3. 所属分类:Java

    • 发布日期:2007-08-07
    • 文件大小:382976
    • 提供者:mengfeicv
  1. 计算机组成原理实验1-四位ALU算术逻辑单元设计实验

  2. 一. 实验目的 1.了解ALU的功能和使用方法 2.认识和掌握超前进位的设计方法 3.认识和掌握ALU的逻辑电路组成 4.认识和掌握ALU的设计方法 二. 实验原理 从结构原理图上可推知,本实验中的ALU运算逻辑单元由4个一位的ALU运算逻辑单元组成。每位的ALU电路由全加器和函数发生器组成。事实上,是在全加器的基础上,对全加器功能的扩展来实现符合要求的多种算术/逻辑运算的功能。为了实验多种功能的运算,An、Bn数据是不能直接与全加器相连接的,它们受到功能变量F3—F1的制约,由此,可由An、
  3. 所属分类:C

    • 发布日期:2009-12-10
    • 文件大小:412672
    • 提供者:L416116256
  1. 基于VHDL语言的4位算术逻辑单元(ALU)的设计

  2. ALU的算数运算主要以加、减法为主,至于乘法、除法则可用“移位”配合“加法”的方法加以处理,即可完成运算。虽然逻辑运算的种类很多,但实际上ALU中的逻辑电路单元通常只处理AND、OR、XOR、NOT这四种运算,其它的各种逻辑运算都可以由布尔代数化简,只需用AND、OR、XOR、NOT这四种运算便可完成。
  3. 所属分类:专业指导

    • 发布日期:2010-01-05
    • 文件大小:57344
    • 提供者:wzl880820
  1. 四位二进制ALU运算器

  2. 数字系统综合设计,实现四位二进制数逻辑运算和算术运算!
  3. 所属分类:专业指导

    • 发布日期:2010-07-18
    • 文件大小:262144
    • 提供者:daimengqing2009
  1. Verilog ARM ALU设计

  2. 这是用Verilog语言写的ARM的ALU的设计,可以完成全部的16条算术指令,如加、减、反向减、带进位加等
  3. 所属分类:硬件开发

    • 发布日期:2010-11-02
    • 文件大小:715776
    • 提供者:Swange
  1. 四位ALU算术逻辑单元设计实验

  2. 1. 了解ALU(算术逻辑单元)的功能和使用方法; 2. 认识和掌握超前(并行)进位的设计方法; 3. 认识和掌握ALU的逻辑电路组成; 4. 认识和掌握ALU的设计方法
  3. 所属分类:专业指导

    • 发布日期:2010-12-13
    • 文件大小:245760
    • 提供者:qq459729983
  1. 设计一个4位的算术逻辑单元

  2. 实验一 算术逻辑单元 1. 实验目的 (1) 掌握运算器的工作原理。 (2) 验证运算器的功能 2. 实验要求 (1)基本要求 设计一个4位的算术逻辑单元,满足以下要求。 ①4位算术逻辑单元能够进行下列运算:加法、减法、加1、减1、与、或、非和传递。用3位操作码进行运算,控制方式如下表所示。 运算操作码     运   算 对标识位Z和C的影响 000 result ←A+B 影响标志位Z和C 001 result ←A+1 影响标志位Z和C 010 result ←A-B 影响标志位Z和C
  3. 所属分类:C

    • 发布日期:2010-12-30
    • 文件大小:411648
    • 提供者:ndsc_cat
  1. 8位ALU运算器(VHDL语言)

  2. (1)按照实验要求设计简单ALU,能执行8种操作,分别为: 1)加、减、增1、减1等4种8位算术运算; 2)与、或、非、异或等4种8位逻辑运算。 实现上,可以用一位M 作为进行算术运算或逻辑运算的控制位,M=0 时进行算术运算,M=1 时进行逻辑运算。另外用2位来表示4种操作。 (2)实现一些基本的PSW标志位: 1)进位/借位的输出标志位C; 2) 运算结果为零的输出标志位Z; 3) 运算结果为溢出的输出标志位V; 4) 运算结果为负数的输出标志位N。 (3)加减必须用最基本的1位全加器fa
  3. 所属分类:硬件开发

    • 发布日期:2011-05-18
    • 文件大小:26624
    • 提供者:wwweet
  1. 计算机组成原理实验----8位算术逻辑运算ALU

  2. 计算机组成原理实验----8位算术逻辑运算ALU,华农信软学院实验报告。你懂的。
  3. 所属分类:其它

    • 发布日期:2011-06-09
    • 文件大小:14336
    • 提供者:WayneJames
  1. EDA 算术逻辑单元ALU设计

  2. EDA 算术逻辑单元ALU设计 超前进位加法减法器 设计思路 VHD代码 注释仿真
  3. 所属分类:硬件开发

    • 发布日期:2011-07-16
    • 文件大小:166912
    • 提供者:watchen
  1. 算术逻辑单元(ALU)设计

  2. 算术逻辑单元(ALU)设计 西南交大计算机组成原理实验
  3. 所属分类:其它

    • 发布日期:2011-11-18
    • 文件大小:1048576
    • 提供者:g19920917
  1. 算术逻辑单元设计(西南交大)

  2. 西南交大计算机组成原理实验C实验五,ALU设计。。
  3. 所属分类:其它

    • 发布日期:2011-11-18
    • 文件大小:1048576
    • 提供者:g19920917
  1. VHDL设计算术逻辑单元

  2. 通过VHDL编程实现ALU基本功能,然后显示在两个七段数码管上
  3. 所属分类:其它

    • 发布日期:2011-11-18
    • 文件大小:1048576
    • 提供者:g19920917
  1. fpga 八位alu毕业论文

  2. 算术逻辑单元简称ALU,是一种功能较强的组合逻辑电路,有时被称为多功能函数发生器。ALU的实现,在并行进位加法器的基础上,再加上一些逻辑电路和功能控制信号线,可形成多功能算术逻辑运算部件ALU。
  3. 所属分类:硬件开发

    • 发布日期:2011-11-25
    • 文件大小:371712
    • 提供者:kinglord2007
  1. ALU设计 用Verilog HDL

  2. 用Verilog HDL设计一个模块,该模块实现了一个4bit的ALU,可以对两个4bit二进制操作数进行算术运算和逻辑运算   算术运算包括加法与减法   逻辑运算包括与运算、或运算   设计一个模块,利用Verilog HDL模块元件实例化的能力来调用4bit ALU的模块,从而将两个4bit ALU扩展为一个8bit ALU(详见原理框图)   用提供的4bit ALU测试模块对所实现的4 bit ALU进行仿真测试   用提供的8bit ALU测试模块对所实现的8 bit ALU进行仿
  3. 所属分类:C/C++

    • 发布日期:2013-04-16
    • 文件大小:2048
    • 提供者:u010312201
  1. 算术运算单元

  2. 数电课程设计,算术运算单元alu的搭建小论文
  3. 所属分类:专业指导

    • 发布日期:2014-05-05
    • 文件大小:737280
    • 提供者:yhz_1992
  1. 具有乘法辅助功能的算术ALU设计

  2. 具有乘法辅助功能的算术ALU设计 有用的可以下来看看
  3. 所属分类:其它

    • 发布日期:2014-07-07
    • 文件大小:615424
    • 提供者:u010427723
  1. verilog中用函数写alu

  2. verilog hdl中,用函数写alu算术逻辑单元,输入ab分别为三位,能执行四种运算
  3. 所属分类:其它

    • 发布日期:2014-12-10
    • 文件大小:207
    • 提供者:fengdeninanfly
  1. 算术逻辑单元ALU的VHDL代码

  2. 完整的算术逻辑单元(ALU)的 VHDL 代码! 包含其testbench代码!
  3. 所属分类:硬件开发

    • 发布日期:2015-02-03
    • 文件大小:14336
    • 提供者:pbw1994
  1. 逻辑、算术运算单元ALU

  2. 包括逻辑运算,算术运算的ALU,计算机组成原理的实验!!!绝对能通过...VHDL语言编译
  3. 所属分类:专业指导

    • 发布日期:2008-10-30
    • 文件大小:3072
    • 提供者:yuedingdexue
« 12 3 4 5 6 7 8 »