您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 用FPGA实现色空间R'G'B'到YCbCr的转换.pdf

  2. 用FPGA实现色空间R'G'B'到YCbCr的转换.pdf 数据库资源
  3. 所属分类:硬件开发

    • 发布日期:2009-06-29
    • 文件大小:145408
    • 提供者:buptbaishikele
  1. 基于可识别物体进行白平衡处理的原理及实验分析

  2. 分析了数码相机等教字目像采集设备中的色私空间转换和白平衡处理的方法。证明了实现白平衡的图像中.所有物体颜色的色差值唯一确定这一蛄论,并且提出实现图像白平衡可以用非白色非纯色物体为参照物进行处理的论点.以此为基础,给出了以人脸作为可识别参照物进行自动白平衡处理的实验,与传统方法进行比较.
  3. 所属分类:专业指导

    • 发布日期:2010-10-05
    • 文件大小:327680
    • 提供者:yanyan336699
  1. BP神经网络反变换 matlab

  2. 利用BP神经网络关于CMYK色空间转换为RGB色空间的转换
  3. 所属分类:C/C++

    • 发布日期:2011-04-14
    • 文件大小:8192
    • 提供者:xiaoniu123dsw
  1. 图像处理常见颜色空间及转换

  2. 颜色空间是定义、创建和观察颜色的方法。对颜色的研究和应用 产生了许多不同的颜色空间,包括色度学的 CIE-RGB 真实三原色系 统和 CIE-XYZ 虚拟三原色系统,三分量归一化后用两个分量表示的 色度图CIE-xyz 和NCCrgb,用亮度和双色差表示的颜色空间CIE Lab 和CIE Luv 等;电视工业用的YIQ、YUV、YCbCr 和YES;面向色 调的由颜色心理三属性表示的颜色空间HSI、HSV、HSL、HSB、TSL 以及 MPEG7 中采用的 HMMD。另外还有一些针对某些类型的
  3. 所属分类:互联网

    • 发布日期:2011-04-29
    • 文件大小:256000
    • 提供者:zk12141985
  1. 基于FPGA的RGB到YCbCr色空间转换.pdf

  2. 基于FPGA的RGB到YCbCr色空间转换.pdf
  3. 所属分类:专业指导

    • 发布日期:2012-05-28
    • 文件大小:226304
    • 提供者:jeeyang
  1. 数字RGB与YCbCr颜色空问转换的精度

  2. 视频处理系统中为了提高处理效率,需要将数字RGB信号转换为数字YCbCr信号.文中提出由于芯片内部寄存器精度的原因,会引起原信号三基色之间的串扰问题;为满足视频处理需求,处理芯片中颜色空间转换寄存器至少要使用7个二进制位来表示色空间转换矩阵;为了彻底消除由精度引起的三色串扰问题,则处理芯片中颜色空间转换寄存器至少要使用9个二进制位来表示色空间转换矩阵.
  3. 所属分类:专业指导

    • 发布日期:2012-07-29
    • 文件大小:135168
    • 提供者:vblittleboy
  1. 用fpga实现色空间‘r’‘g’‘b’到ycbcr 的转换

  2. 用fpga实现色空间‘r’‘g’‘b’到ycbcr 的转换
  3. 所属分类:专业指导

    • 发布日期:2014-02-24
    • 文件大小:274432
    • 提供者:u012587469
  1. 基于FPGA的RGB到YCbCr色空间转换

  2. 基于FPGA的RGB到YCbCr色空间转换
  3. 所属分类:嵌入式

    • 发布日期:2014-09-18
    • 文件大小:123904
    • 提供者:qq_18361065
  1. 颜色拾取并在各色坐标系间转换

  2. 可以在屏幕上取颜色,然后转换成不同色坐标下的值. 对于做颜色转换比较有用,另外也是个不错的抓屏工具. 选取范围后,双击左键或者单击右键,能把选取图像拷贝的 剪贴板里.
  3. 所属分类:专业指导

    • 发布日期:2008-11-04
    • 文件大小:108544
    • 提供者:pingmail
  1. matlab色空间转换rgb到lch

  2. matlab色空间装换,RGB空间到LCH空间的转换代码,中间有lab空间的转换
  3. 所属分类:专业指导

    • 发布日期:2018-08-20
    • 文件大小:2048
    • 提供者:qq_42051349
  1. 色域空间.rar

  2. 介绍几个常用的色域空间特性及相互转换公式,建议用Typora软件打开.md文件。
  3. 所属分类:图像处理

    • 发布日期:2018-09-07
    • 文件大小:333824
    • 提供者:xmzzp
  1. 基于色温估计自动白平衡算法研究与实现.pdf

  2. 介绍基于色温估计的白平衡实现。在研究现有自动白平衡算法的基础上,为了克服灰度世界算法在图像颜色较 少时的算法失效,同时克服已有基于色温估计的白平衡算法存在的色度空间转换 的精度损失问题,本文提出在RGB色度空间的基于色温估计的自动白平衡算法。 首先通过实验确定各常见色温的R/G、B/G的范围,建立常见色温查找表。然后 统计当前光线下图像像素点的R/G、B/G的值,通过查表法确定该像素点所属色 温。处理完整帧图像之后,像素点最多的色温即可确定为当前光源的色温。最后 根据灰度世界假设,计算R、G、B
  3. 所属分类:嵌入式

    • 发布日期:2020-04-12
    • 文件大小:13631488
    • 提供者:lz0499
  1. 准确的三属性值转换与色差匀色空间及其实验报告

  2. 准确的三属性值转换与色差匀色空间及其实验报告,王君辅,,色差匀色空间是以高精准度三属性值为坐标的线性匀色空间,其中色调坐标是根据NBS色差定义,将色立体匀色空间的单色调平面转变成间
  3. 所属分类:其它

    • 发布日期:2019-12-28
    • 文件大小:1048576
    • 提供者:weixin_38563871
  1. 基于FPGA的视频格式转换系统设计

  2. 摘要:针对电视制式PAL/NTSC信号输出VGA显示格式的解决办法,详细讲述了基于FPGA视频格式转换系统的设计实现。采用CycloneⅢ系列的EP3C1*84C6作为核心处理器件,实现了NTSC/PAL制式视频的解码、色空间转换(
  3. 所属分类:其它

    • 发布日期:2020-10-23
    • 文件大小:486400
    • 提供者:weixin_38652636
  1. EDA/PLD中的基于FPGA的视频格式转换系统设计

  2. 摘 要: 针对电视制式PAL /NTSC 信号输出VGA 显示格式的解决办法,详细讲述了基于FPGA 视频格式转换系统的设计实现。采用Cyclone Ⅲ系列的EP3C1*84C6作为核心处理器件,实现了NTSC /PAL制式视频的解码、色空间转换( CSC)、帧速率转换和隔行逐行转换、缩放、视频DAC 转换,最终实现分量R、G、B的VGA 视频格式的视频输出,分辨率可达1 600 × 1 200 100 Hz。   1 系统设计   设计使用了ALTERA 的EP3C1*84C6型号FPGA
  3. 所属分类:其它

    • 发布日期:2020-11-03
    • 文件大小:538624
    • 提供者:weixin_38642636
  1. 一种新的适用于彩色图像处理的彩色空间变换方法

  2. 从人眼的视觉特性角度出发,在CIE国际颜色标准系统和孟塞尔系统基础上,提出了一种适用于彩色图像处理的色空间转换模式,并利用此方法对医用胃镜输出的图像进行了不破坏色调前提下的图像增强处理,明显地提高了彩色图像的细节分辨,实践证明该方法不失为一种具有实用意义的彩色空间变换及图像处理方法。
  3. 所属分类:其它

    • 发布日期:2021-03-05
    • 文件大小:1048576
    • 提供者:weixin_38613330
  1. 使用色彩空间的微表情识别

  2. 微表情是简短的非自愿面部表情,可显示真实的情感,因此有助于发现谎言。 由于它们的应用前景广阔,因此引起了各个领域研究人员的关注。 最近的研究表明,两个感知色空间(CIELab和CIELuv)为表达识别提供了有用的信息。 本文是国际模式识别会议论文的扩展版本,其中我们提出了一种新颖的色彩空间模型,即张量独立色彩空间(TICS),以帮助识别微表达。 在本文中,我们进一步证明了CIELab和CIELuv在识别微表达方面也有帮助,并指出了为什么这三种颜色空间可以实现更好的性能。 将微表达彩色视频剪辑视为
  3. 所属分类:其它

    • 发布日期:2021-02-25
    • 文件大小:2097152
    • 提供者:weixin_38586428
  1. opencv实现图像颜色空间转换

  2. opencv常用的样色空间包括RGB, HSV和YUV等。RGB颜色空间是基于三基色原理二形成的,常用于图像显示系统中;HSV描述的色度,饱和度,亮度这些表示颜色得方法,常用于描述色彩变化;YUV是通过亮度和色度来描述颜色,色度由UV通道组合而成。 opencv提供cvtColor(inputArray src, outputArray dst, int code, int dstCn = 0) src是输入图像原,可以是8位CV_8U或者16位CV_16U无符号整形,或者单精度浮点数CV_
  3. 所属分类:其它

    • 发布日期:2021-01-21
    • 文件大小:50176
    • 提供者:weixin_38742460
  1. Python-opencv实现红绿两色识别操作

  2. 1.颜色空间转换(RGB转HSV) 为了较准确的调红色和绿色的HSV,我使用cv2.createTrackbar()函数创建了六个滚动条 #创建HSV最低滚动条 cv2.createTrackbar('H_min','image',35,180,nothing) cv2.createTrackbar('S_min','image',43,255,nothing) cv2.createTrackbar('V_min','image',46,255,nothing) #创建HSV最高滚动条 cv2
  3. 所属分类:其它

    • 发布日期:2021-01-21
    • 文件大小:39936
    • 提供者:weixin_38653155
  1. 基于FPGA的视频格式转换系统设计

  2. 摘 要: 针对电视制式PAL /NTSC 信号输出VGA 显示格式的解决办法,详细讲述了基于FPGA 视频格式转换系统的设计实现。采用Cyclone Ⅲ系列的EP3C1*84C6作为处理器件,实现了NTSC /PAL制式视频的解码、色空间转换( CSC)、帧速率转换和隔行逐行转换、缩放、视频DAC 转换,终实现分量R、G、B的VGA 视频格式的视频输出,分辨率可达1 600 × 1 200 100 Hz。   1 系统设计   设计使用了ALTERA 的EP3C1*84C6型号FPGA 作为
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:787456
    • 提供者:weixin_38594266
« 12 3 4 5 6 7 8 »