您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. booth 算法---蒋小龙

  2. 0、 约定 …………………………………………………………………………………………… 5 1、 无符号数一位乘法 …………………………………………………………………………… 7 2、 符号数一位乘法 ……………………………………………………………………………… 8 3、 布思算法(Booth algorithm) ……………………………………………………………… 9 4、 高基(High Radix)布思算法 ……………………………………………………………… 10 5、 迭代算法 …………………
  3. 所属分类:其它

    • 发布日期:2010-05-24
    • 文件大小:390144
    • 提供者:pace2005999
  1. 基于FPGA的四阶IIR数字滤波器

  2. 摘 要 采用FPGA实现四阶IIR数字滤波器,通过两个二阶节级联构成数字椭圆低通滤波器.带内波纹小于0.1dB阻带衰减大于32dB 关键词 四阶IIR椭圆滤波器 补码阵列乘法器
  3. 所属分类:硬件开发

    • 发布日期:2011-07-20
    • 文件大小:173056
    • 提供者:F_134
  1. 计算机组成原理课件

  2. 计算机组成原理的课件,关于定点乘法的3种运算(原码一位乘、补码一位乘、补码阵列乘法器等)
  3. 所属分类:专业指导

    • 发布日期:2011-12-26
    • 文件大小:636928
    • 提供者:yinpuliusha
  1. VLSI数字信号处理-设计与实现

  2. 目 录 第一章绪论 1.1 引言 1.2本书各章内容简介 第二章计算机算术运算及其实现 2.1 引言 2.2算术运算的数的系统 2.2.1普通基数的数的系统 2.2.2带符号数字的数的系统 2.2.3定点数的表示法 2.2.4剩余数系统 2.3二进制加法器 2.3.1基本的加法/减法器 2.3.2多级进位存储加法器树 2.3.3流水线加法器 2.4二进制乘法器 2.4.1 Baugh-Wooley补码阵列乘法器的数学原理 2.4.2 8×8位Baugh-Wooley补码阵列乘法器的VHDL实
  3. 所属分类:其它

    • 发布日期:2009-02-20
    • 文件大小:3145728
    • 提供者:luofei23
  1. 运算器设计(HUST)

  2. 1.8位可控加减法电路设计 2.CLA182四位先行进位电路设计 3.4位快速加法器设计 4.16位快速加法器设计 5.32位快速加法器设计 6.5位无符号阵列乘法器设计 7.位有符号补码阵列乘法器 8.乘法流水线设计 9.原码一位乘法器设计 10.补码一位乘法器设计 11.MIPS运算器设计
  3. 所属分类:互联网

    • 发布日期:2020-05-22
    • 文件大小:428032
    • 提供者:weixin_44590688
  1. 华中科技大学计算机组成原理实验一 运算器设计(加法器设计)

  2. 华中科技大学计算机组成原理实验一 运算器设计(加法器设计) 8位可控加减法电路设计 CLA182四位先行进位电路设计 4位快速加法器设计 16位快速加法器设计 32位快速加法器设计 5位无符号阵列乘法器设计 6位有符号补码阵列乘法器 乘法流水线设计
  3. 所属分类:互联网

    • 发布日期:2020-05-20
    • 文件大小:724992
    • 提供者:weixin_43330835
  1. 华中科技大学计算机组成原理 运算器设计实验(HUST) (educoder)完成文件

  2. 代码包含: 8位可控加减法电路设计 CLA182四位先行进位电路设计 4/16/32位快速加法器设计 5位无符号阵列乘法器设计 6位有符号补码阵列乘法器 乘法流水线设计 原码一位乘法器设计 补码一位乘法器设计 MIPS运算器设计
  3. 所属分类:软件测试

    • 发布日期:2020-05-17
    • 文件大小:47104
    • 提供者:first_zhangwei
  1. 运算器设计实验(计算机组成原理)

  2. Logisim运算器实验文件,包含8位可控加减法器、32位快速加法器、6位补码阵列乘法器、原码一位乘法器、补码一位乘法器、算术逻辑运算单元ALU
  3. 所属分类:其它

    • 发布日期:2020-05-17
    • 文件大小:736256
    • 提供者:canwu1212
  1. 计算机组成原理实验课程 实验一 运算器设计(加法器设计)8位可控加减法器设计、32位算术逻辑运算单元ALU设计alu.circ

  2. 8位可控加减法器设计、32位算术逻辑运算单元ALU设计、四位先行进位74182、四位快速加法器 、8位快速加法器、16位快速加法器、5位阵列乘法、6位补码阵列乘法器等电路,已画好。alu自动测试是100分。
  3. 所属分类:Linux

    • 发布日期:2020-05-16
    • 文件大小:727040
    • 提供者:CN_EventHorizon
  1. 闯关1-11.zip

  2. 华中科技大学机组实验运算器设计闯关代码1——11关 8位可控加减法电路设计 CLA182四位先行进位电路设计 4位快速加法器设计 16位快速加法器设计 32位快速加法器设计 5位无符号阵列乘法器设计 6位有符号补码阵列乘法器 乘法流水线设计 原码一位乘法器设计 补码一位乘法器设计 MIPS运算器设计
  3. 所属分类:讲义

    • 发布日期:2020-05-14
    • 文件大小:48128
    • 提供者:E01814018
  1. 华中科技大学计算机组成原理实验二运算器实验Logisim源文件8位可控加减法器设计32位算术逻辑运算单元ALU设计

  2. .circ文件。华中科技大学计算机组成原理实验二运算器实验Logisim源文件,里面有8位可控加减法器设计、32位算术逻辑运算单元ALU设计、四位先行进位74182、四位快速加法器 、8位快速加法器、16位快速加法器、5位阵列乘法、6位补码阵列乘法器等电路,已经连接画好了。alu自动测试是100分。
  3. 所属分类:专业指导

    • 发布日期:2020-05-06
    • 文件大小:41984
    • 提供者:OldHuangC
  1. 华中科技大学计算机组成原理ALU.circ

  2. 华中科技大学计算机组成原理ALU实验测试100分(仅实现快速加法器以及ALU).....................................................................
  3. 所属分类:专业指导

    • 发布日期:2020-04-14
    • 文件大小:504832
    • 提供者:qq_35068676
  1. 131311.circ

  2. 将alu-EduCoder-3 -23.circ改名后,完成8位可控加减法器电路、4位先行进位电路CLA74182电路、4位快速加法器电路、16位快速加法器电路、32位快速加法器电路、MIPS算术逻辑运算单元ALU电路设计。并且完成ALU自动测试。 (选做)观看4.4阵列乘法器及乘法流水线设计,4.5原码一位乘法器设计,4.6补码一位乘法器设计,设计5位阵列乘法器电路,6位补码阵列乘法器电路,乘法流水线设计电路,原码一位乘法器设计电路,补码一位乘法器设计电路。 所有完成设计的电路要求在EDUCO
  3. 所属分类:其它

    • 发布日期:2020-05-27
    • 文件大小:693248
    • 提供者:Lindayer
  1. 华中科技大学自己动手画CPU运算器设计1-11答案计算机组成原理

  2. 8位可控加减法电路设计 CLA182四位先行进位电路设计 4位快速加法器设计 16位快速加法器设计 32位快速加法器设计 5位无符号阵列乘法器设计 6位有符号补码阵列乘法器 乘法流水线设计 原码一位乘法器设计 补码一位乘法器设计 MIPS运算器设计
  3. 所属分类:专业指导

    • 发布日期:2020-06-01
    • 文件大小:556032
    • 提供者:weixin_44884234
  1. educoder_alu.circ

  2. educoder平台运算器实验全部,以运行通过。包含8位可控制加减法器,☆4位先行进位74182,☆4位先行进位74182☆16位快速加法器☆32位快速加法器☆5位阵列乘法器☆6位补码阵列乘法器☆5位无符号乘法流水线☆原码一位乘法器☆补码一位乘法器☆算术逻辑运算单元ALU
  3. 所属分类:其它

    • 发布日期:2020-05-30
    • 文件大小:729088
    • 提供者:qq_44805333
  1. 华科 计算机组成原理 运算器设计(HUST) logisim 全11关

  2. 以下十一关,自测100分通过—— 第1关:8位可控加减法电路设计 第2关:CLA182四位先行进位电路设计 第3关:4位快速加法器设计 第4关:16位快速加法器设计 第5关:32位快速加法器设计 第6关:5位无符号阵列乘法器设计 第7关:6位有符号补码阵列乘法器 第8关:乘法流水线设计 第9关:原码一位乘法器设计 第10关:补码一位乘法器设计 第11关:MIPS运算器设计
  3. 所属分类:教育

    • 发布日期:2020-06-23
    • 文件大小:550912
    • 提供者:weixin_45819518
  1. 补码阵列乘法器

  2. 1、通过multisim仿真平台设计一个能计算含符号位的4位乘法器,即内部为一个3×3阵列乘法器,符号位单独处理,如图7所示。 2、输入为两个4位含符号位的补码数,输出结果亦是含符号位的数补码。 图7带求补级的阵列乘法器方框图 要求: 1、能够正确输入两个4位(含符号位)的补码,并通过计算得到正确的结果。 2、验证结果,输入两个均为补码的数据,验证并得到正确的仿真结果。 3、通过指示灯或者数码管显示为输入和输出的数据。
  3. 所属分类:互联网

    • 发布日期:2020-07-05
    • 文件大小:1048576
    • 提供者:weixin_39444707
  1. 单片机与DSP中的基于FPGA的四阶IIR数字滤波器

  2. 摘要:采用FPGA实现四阶IIR数字滤波器,通过两个二阶节级联构成数字椭圆低通滤波器。通带内波纹小于0.1dB,阻带衰减大于32dB。 关键词:四阶 IIR 椭圆滤波器 补码阵列乘法器常用的数字滤波器有FIR数字滤波器和IIR数字滤波器。FIR数字滤波器具有精确的线性相位特性,在信号处理方面应用极为广泛,而且可以采用事先设计调试好的FIR数字滤波器IP Core来完成设计,例如Altera公司提供的针对Altera系列可编程器件的MegaCore,但是需要向Altera公司购买或申请试用版
  3. 所属分类:其它

    • 发布日期:2020-12-10
    • 文件大小:105472
    • 提供者:weixin_38702726