您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 多功能数字钟----数字电路实验报告

  2. 多功能数字钟 【摘 要】数字钟是采用数字电路实现对时,分,秒。数字显示的计时装置,广泛用于个人家庭,车站, 码头办公室等公共场所,成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,运运超过老式钟表, 钟表的数字化给人们生产生活带来了极大的方便而且大大地扩展了钟表原先的报时功能。因此,研究数字钟及扩大其应用,有着非常现实的意义。 数字钟计时的标准信号应该是频率相当稳定的1HZ秒脉冲,所以要设置标准时间源。 数字钟计时周期是24小时,因此必须设置
  3. 所属分类:专业指导

    • 发布日期:2009-05-18
    • 文件大小:698368
    • 提供者:jayzf0503
  1. (Multisim数电仿真指导)计数、译码和显示电路

  2. 教你怎么使用multisim做数电的仿真实验,mlitisim7,multisim8,multisim10都适用。
  3. 所属分类:嵌入式

    • 发布日期:2009-05-23
    • 文件大小:328704
    • 提供者:sillyboy5
  1. 实训七 计数、译码、显示电路 用十进制计数器、译码器、显示器组成一个十进制计数显示电路

  2. 实训七 计数、译码、显示电路 熟悉通用型十进制计数器、译码器、显示器的逻辑功能; 2.掌握计数器、译码器、显示器的应用。 二、实训内容 1.用十进制计数器、译码器、显示器组成一个十进制计数显示电路。
  3. 所属分类:专业指导

    • 发布日期:2009-12-20
    • 文件大小:2097152
    • 提供者:lhl8787
  1. 篮球竞赛24s定时电路设计

  2. 数电课程设计,定时电路是数字系统中的基本单元电路,它主要是由计数器和振荡器组成。而本课程设计主要是由时钟脉冲产生电路、计数译码显示电路和控制电路三部分组成。
  3. 所属分类:专业指导

    • 发布日期:2010-01-09
    • 文件大小:567296
    • 提供者:numberrongbo
  1. 数字电路课程设计——数字频率计设计报告

  2. 介绍了一种基于TTL系列芯片的简易数字频率计。数字频率计应用所学的数字电路知识进行设计。电路由放大整形电路、时基电路、逻辑控制电路、计数锁存电路及译码显示电路组成。能够较精准的测量幅值在0.2V~5V的正弦波、三角波、方波的频率。测量范围能够达到1Hz~9999Hz。
  3. 所属分类:嵌入式

    • 发布日期:2010-01-09
    • 文件大小:420864
    • 提供者:VICTOR1105
  1. 数字式秒表设计(设计一个数字式秒表,一个最简单的数字秒表由毫秒信号发生电路,分、秒、毫秒计数电路,译码显示电路组成。)

  2. 首先由毫秒信号产生电路生产毫秒信号,将此信号接到毫秒计数器的信号输入端。接着,在这个毫秒信号的驱动下,毫秒计数器向秒计数器进位,秒计数器向分计数器进位,最后通过译码器将计数器中的状态以时间的形式显示出来,这样就实现了时间的计数和显示功能
  3. 所属分类:专业指导

    • 发布日期:2010-01-22
    • 文件大小:905216
    • 提供者:ro815
  1. 用VHDL设计的计数显示电路

  2. 设计输出为3位BCD码的计数显示电路。由三个模块构成:十进制计数器(BCD_CNT)、分时总线切换电路(SCAN)和七段显示译码器电路(DEC_LED)。
  3. 所属分类:专业指导

    • 发布日期:2010-06-22
    • 文件大小:126976
    • 提供者:iddudumegaoyuan
  1. 电子技术基础 计数译码显示电路

  2. 电子技术基础 计数译码显示电路。。。。。。。。。。。。
  3. 所属分类:专业指导

    • 发布日期:2010-07-15
    • 文件大小:1048576
    • 提供者:fuji116116
  1. VHDL 数字显示电路

  2. VHDL 数字显示电路的设计1 用VHDL设计具有清除端、使能端,计数范围为0~999的计数器,输出为8421BCD码; 2.用VHDL设计十进制计数器(BCD_CNT)模块、七段显示译码器电路(BEC_LED)模块和分时总线切换电路(SCAN)模块。 3.用MAX+plusⅡ进行时序仿真
  3. 所属分类:专业指导

    • 发布日期:2011-05-25
    • 文件大小:267264
    • 提供者:xiyuemiao
  1. 数字电路电子秒表设计

  2. 用MULTISIM软件实现电子秒表设计,设计电路包括基本RS触发电路,多谐振荡电路,单稳态电路和计数译码显示电路,可以实现启动计时,停止计时和计数清零的作用。该电路主要用于数字电子计数教学的综合设计实验。
  3. 所属分类:嵌入式

    • 发布日期:2011-12-20
    • 文件大小:563200
    • 提供者:q12jiaoxihua
  1. 计数和译码以及显示电路

  2. 用十进制计数器、译码器、显示器组成一个十进制计数显示电路。 熟悉通用型十进制计数器、译码器、显示器的逻辑功能; 掌握计数器、译码器、显示器的应用。
  3. 所属分类:嵌入式

    • 发布日期:2012-05-04
    • 文件大小:2097152
    • 提供者:huicc789
  1. 自行车里程测量仪

  2. 目录 课程设计(论文)任务书 课程设计(论文)成绩评定表 中 文 摘 要 1 设计任务描述 3 1.1 设计题目:自行车里程测量仪 3 1.2 设计要求 3 1.2.1 设计目的 3 1.2.2 基本要求 3 1.2.3 发挥部分 3 2 设计思路 4 2.1设计构思 4 3 设计方框图 5 4 各部分电路设计及参数计算 6 4.1秒信号产生电路设计及其工作原理 6 4.2计数译码显示电路设计 7 4.3校时电路设计 8 4.4十进制数输入开关 8 4.5闹铃报警电路 9 5 工作过程分析 1
  3. 所属分类:专业指导

    • 发布日期:2013-01-17
    • 文件大小:2097152
    • 提供者:wudi8765
  1. 数字电路频率计设计

  2. 本文主要介绍了一种基于TTL系列芯片的简易数字频率计。文中涉及电路的设计方案的选择及电路的仿真和波形观察。数字频率计应用所学的数字电路知识进行设计,电路由放大整形电路、时基电路、逻辑控制电路、计数锁存电路及译码显示电路这些模块电路组成。其能够较精准的测量幅值在0.2V~5V的正弦波、三角波、方波的频率,且测量范围能够达到1Hz~9999Hz。
  3. 所属分类:硬件开发

    • 发布日期:2013-08-09
    • 文件大小:663552
    • 提供者:honglinglisha
  1. 数字电路课程设计——数字频率计设计报告

  2. 介绍了一种基于TTL系列芯片的简易数字频率计。数字频率计应用所学的数字电路知识进行设计。电路由放大整形电路、时基电路、逻辑控制电路、计数锁存电路及译码显示电路组成。能够较精准的测量幅值在0.2V~5V的正弦波、三角波、方波的频率。测量范围能够达到1Hz~9999Hz。
  3. 所属分类:讲义

    • 发布日期:2018-03-28
    • 文件大小:393216
    • 提供者:qq_38716951
  1. 计数译码显示.ms12

  2. 十进制计数器数码管显示,能仿真,适合初学数字电路的朋友参考。电路是采用multisim12绘制的,需要用multisim12以及更高的版本打开。
  3. 所属分类:电信

    • 发布日期:2020-04-19
    • 文件大小:143360
    • 提供者:cjjlera
  1. 基于压力传感器的测量与显示电路

  2. 设计一个简易汽车踏板压力测量仪。利用压力传感器将压力信号转化成电信号,再经过整形放大,利用V/F转换电路经计数译码电路对其进行显示。 二、技术指标 测量压力小于20千克; 测量误差小于2%; 显示精度为0.5。 三、设计要求 1.在选择器件时,应考虑成本,要求采用LED显示。 2.根据技术指标,通过分析计算确定电路和元器件参数。 3.画出电路原理图(元器件标准化,电路图规范化)。 4.完成电路电源的设计。
  3. 所属分类:专业指导

    • 发布日期:2010-12-22
    • 文件大小:911360
    • 提供者:wzx881015glj
  1. 光电计数电路图

  2. 当有物体通过红外发光二极管VD1和接收管VT1之间,红外线被挡住,VT1截止,IC2-A的1脚输出高电平,4N35截止,VT2截止,VT2集电极输出高电平,故当有物体通过VT1时,便在VT2集电极上输出计数脉冲信号,该信号送到十进制计数器,再送到译码显示电路,显示出相应的数据。 当光敏三极管VT1接收到红外发光二极管射来的红外光线时,VT1导通,比较器IC2-B的反相输入端6脚为低电平,7脚输出高电平,加到比较器IC2-A的反相输入端,使1脚输出低电平,则光电耦合器4N35内的发光管点亮
  3. 所属分类:其它

    • 发布日期:2020-07-17
    • 文件大小:22528
    • 提供者:weixin_38644233
  1. 计数译码显示电路设计

  2. 本文给大家分享了一个计数译码显示电路。
  3. 所属分类:其它

    • 发布日期:2020-07-27
    • 文件大小:18432
    • 提供者:weixin_38627234
  1. 通信与网络中的基于Multisim的数字钟实验电路的设计与仿真

  2. 在电子技术实验教学中,构建学生的电路设计理念,提高学生的电路设计能力,是教学的根本目的和核心内容。数字钟电路的设计和仿真,涉及模拟电子技术、数字电子技术等多方面知识,能够体现实验者的理论功底和设计水平,是电子设计和仿真教学的典型案例。文中采用了555 定时器电路、计数电路、译码电路、显示电路和时钟校正电路,来实现该电路。   1 系统设计方案   数字钟由振荡器、分频器、计时电路、译码显示电路等组成[1-3].振荡器是数字钟的核心,提供一定频率的方波信号;分频器的作用是进行频率变换,产生频率
  3. 所属分类:其它

    • 发布日期:2020-10-20
    • 文件大小:218112
    • 提供者:weixin_38687218
  1. 基于Multisim的数字钟实验电路的设计与仿真

  2. 在电子技术实验教学中,构建学生的电路设计理念,提高学生的电路设计能力,是教学的根本目的和内容。数字钟电路的设计和仿真,涉及模拟电子技术、数字电子技术等多方面知识,能够体现实验者的理论功底和设计水平,是电子设计和仿真教学的典型。文中采用了555 定时器电路、计数电路、译码电路、显示电路和时钟校正电路,来实现该电路。   1 系统设计方案   数字钟由振荡器、分频器、计时电路、译码显示电路等组成[1-3].振荡器是数字钟的,提供一定频率的方波信号;分频器的作用是进行频率变换,产生频率为1 Hz
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:207872
    • 提供者:weixin_38679233
« 12 3 4 5 6 7 8 »