您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 汇编语言程序设计——电子秒表

  2. 一、 设计内容与要求 设计一个简单的电子秒表(按一下钮启动,再按一个停止,再按一下清零,周而复始。)最小计时单位0.01S,即可显示XX.XX(秒、百分之一秒)。设计要求如下: (1)由键盘和8255作为输入部分 (2)用七段数码管或液晶显示屏来显示时间 (3)由8253和8259构成计时信号,通过中断完成启动计时、停止计时和动态刷新显示。 二、 设计思想 电子秒表要实现的功能:用键盘中断来控制整个程序,按一下回车键启动电子秒表,再按一下暂停,按一下ESC键清零,用七段数码管显示时间。整个程序
  3. 所属分类:硬件开发

    • 发布日期:2009-06-01
    • 文件大小:95232
    • 提供者:dikixiao2009
  1. 数字电路课程实验设计 设计一个数字秒表

  2. 在体育比赛、时间精确测量等场合通常要求即使精确度到1%(即10ms) 甚至更高的计时装置,数字秒表是一种精确的计时仪表,可以担当此任。本课题的设计任务是设计一个以数字方式显示的计时器,即数字秒表。
  3. 所属分类:专业指导

    • 发布日期:2009-06-08
    • 文件大小:157696
    • 提供者:lxleversleeping
  1. 一个mfc前端显示秒表

  2. mfc计时秒表一个mfc前端显示秒表一个mfc前端显示秒表一个mfc前端显示秒表
  3. 所属分类:C++

    • 发布日期:2009-06-11
    • 文件大小:173056
    • 提供者:wuhaoster
  1. 一款好用的计时工具,方便的秒表计时工具

  2. 一款好用的计时工具,可以用于记录一个动作的时间,经确到小数点后三位的秒
  3. 所属分类:专业指导

    • 发布日期:2009-06-18
    • 文件大小:4096
    • 提供者:ccjuly
  1. 微机原理课程设计之秒表的实现

  2. 1. 具有秒和百分之一秒计时,并显示在屏幕中央 2. 秒表具有启/停 3. 秒表具有复位功能 在此基础上,我们为秒表加框,加色,动态显示“STOPWATCH!”, 非常好的课程设计完全资料.
  3. 所属分类:专业指导

  1. 数电课程设计 数字式秒表

  2. 设计任务与要求: ①设计并制作符合要求的电子秒表。 ②秒表由6位七段LED显示器显示,其中两位显示“分”,两位显示“秒”,两位显示百分秒(分辨率为0.01秒)。 ③计时最大值为99分59.99秒,误差小于0.01秒。 ④具有清零、启动计时、暂停计时、继续计时等功能。 ⑤控制操作按键不得超过2个。
  3. 所属分类:专业指导

    • 发布日期:2009-06-24
    • 文件大小:8192
    • 提供者:w709854369
  1. 单片机计时秒表程序设计

  2. 单片机计时秒表程序设计.包含c语言和汇编两种!
  3. 所属分类:硬件开发

    • 发布日期:2009-09-20
    • 文件大小:50176
    • 提供者:zhusl_001
  1. 单片机计时秒表程序设计

  2. ic单片机计时秒表程序设计(时钟显示范围00.00~99.99秒,分辨度为0.01秒)
  3. 所属分类:硬件开发

    • 发布日期:2009-12-29
    • 文件大小:61440
    • 提供者:SunShineBank
  1. 利用AT89S51单片机设计并制作计时秒表

  2. 通过单片机的定时器/计数器定时和计数原理,设计简单的计时器系统,拥有正确的计时、停止功能,并同时可以用数码管显示,在现实生活中应用广泛,具有现实意义。 以60秒为一个定时周期,精确到0.1秒。
  3. 所属分类:硬件开发

    • 发布日期:2011-01-07
    • 文件大小:221184
    • 提供者:smilelilu
  1. 计时秒表课程设计

  2. 计时秒表主要用于课程设计,希望对你们有用
  3. 所属分类:Java

    • 发布日期:2011-12-09
    • 文件大小:1024
    • 提供者:cw20100516
  1. VHDL程序集

  2. 里面有以下项目的程序:计时秒表、彩灯控制器、交通灯控制器、电子抢答器的设计、汽车尾灯控制器的设计、电子密码锁的设计、设计BCD-七段显示译码器、设计计数器、病房呼叫系统、四种频率输出的频率计、双向移位寄存器、闪烁的灯
  3. 所属分类:专业指导

    • 发布日期:2012-01-08
    • 文件大小:3145728
    • 提供者:chenjh1991
  1. WP7运动秒表源码

  2. WP7运动秒表源码 项目描述 Windows Phone 7 一款运动计时秒表软件 秒表可以添加多个,例如:跑步可以分圈计时。 此源码仅供参考学习之用
  3. 所属分类:WindowsPhone

    • 发布日期:2012-02-17
    • 文件大小:1048576
    • 提供者:ss_geng
  1. 基于MCU的遥控田径计时秒表设计

  2. 本设计可以有效的解决了目前中小型群众性运动会所用计时秒表,受裁判主 观因素影响而使计时误差大,且人力资源耗费量大的缺点。如若加以改进还可以 用于工业上的定时计时控制等方面
  3. 所属分类:C/C++

    • 发布日期:2012-02-27
    • 文件大小:602112
    • 提供者:loujy
  1. 用VHDL语言编写的计时秒表程序

  2. 基于FPGA,用VHDL语言编写的计时秒表程序,运行成功,可供大家参考使用。
  3. 所属分类:嵌入式

    • 发布日期:2012-09-20
    • 文件大小:2097152
    • 提供者:huoxingwen1111
  1. timer计时器

  2. 一个很方便的计时工具,可同时多个计时,选择正反模式
  3. 所属分类:教育

    • 发布日期:2013-03-04
    • 文件大小:253952
    • 提供者:yubo0828
  1. 秒表计时工具

  2. 秒表计时工具 小巧绿色 实用 可计时 可计次
  3. 所属分类:互联网

    • 发布日期:2013-09-11
    • 文件大小:3145728
    • 提供者:yrjj425624
  1. 可以计时秒表程序,1.时钟/秒表,精度可设定

  2. 1.时钟/秒表,精度可设定,最高可达1/1000秒; 2.时刻记录、保存、恢复; 3.定时提醒,间隔提醒; 4.定时关机; 5.可设置窗口不被覆盖;   6.新增加最小化到托盘功能。 软件操作: 各个按键名称右边的字母为按键的快捷键;“ESC”键归零,ALT+S进行设置。 按键功能:
  3. 所属分类:Java

    • 发布日期:2008-10-09
    • 文件大小:711680
    • 提供者:SXYXZYL
  1. 嵌入式平台的计时秒表

  2. 一个具有计时功能的秒表,秒表最大计时时间为3分钟59秒。系统设置2个功能键,控制秒表启停。秒表启动时从00秒开始计数,2位的七段数码管显示变化的秒数(以十进制形式),计数范围为00-59秒。停止键按下,系统维持显示计时的最后值。
  3. 所属分类:嵌入式

    • 发布日期:2014-06-18
    • 文件大小:76800
    • 提供者:lyl2009lyl
  1. 基于51单片机的电子计时秒表设计

  2. 该系统采用STC89C52单片机为中心器件,利用其定时器/计数器定时和记数的原理,结合显示电路、LED数码管以及外部中断电路来设计计时器,使得系统能够实现四位LED显示,显示时间为00.00~99.99秒,计时精度为0.01秒,能正确地进行计时,并显示计时状态和结果 ,软件代码采用C语言编写程序,包括显示程序,初始化子程序,键盘扫描程序,中断服务程序,延时子程序等,并在keil中调试运行,硬件系统利用单片机电路板强大的功能来实现,操作简单且视觉效果易于观察。
  3. 所属分类:硬件开发

    • 发布日期:2016-04-14
    • 文件大小:248832
    • 提供者:zhagn19
  1. 微机原理课程设计(电子秒表的)

  2. 一、 设计任务 1、 计时秒表,具有分、秒和百分之一秒的计时功能。 2、 可以在屏幕中央显示计时结果,屏幕显示彩色图案和文字。 3、 秒表具有键控启/停功能,而且可以随时通过键盘复位,清零。
  3. 所属分类:专业指导

    • 发布日期:2009-01-03
    • 文件大小:179200
    • 提供者:user624
« 12 3 4 5 6 7 8 9 10 ... 31 »