您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. VHDL 程序举例打包,应该有你想要的

  2. VHDL 程序举例 文件夹中包括下面程序 -------------------------------------------------------------------------------- NOTE:该程序参考FPGA中文网站 重要说明:不同软件对VHDL语法的支持范围是不一样的,以下程序中的某些语句可能不能运行在所有的软件平台之上,因此程序可能要作一些修改,同时务必注意阅读程序中的注释。以下部分程序为txt格式,请自行另存为vdh后缀的文件。有些EDA软件要求ENTITY的名称
  3. 所属分类:网络攻防

    • 发布日期:2009-07-23
    • 文件大小:43008
    • 提供者:engddy
  1. 大牛们的C#编码习惯

  2. 1. 避免将多个类放在一个文件里面。 2. 一个文件应该只有一个命名空间,避免将多个命名空间放在同一个文件里面。 3. 一个文件最好不要超过500行的代码(不包括机器产生的代码)。 4. 一个方法的代码长度最好不要超过25行。 5. 避免方法中有超过5个参数的情况。使用结构来传递多个参数。 6. 每行代码不要超过80个字符。 7. 不要手工的修改机器产生的代码。 a) 如果需要编辑机器产生的代码,编辑格式和风格要符合该编码标准。 b) Use partial classes when ever
  3. 所属分类:C#

    • 发布日期:2009-07-30
    • 文件大小:7168
    • 提供者:Hack95
  1. Verilog实例(经典135例)

  2. 很实用的Verilog实例! 目录:王金明:《Verilog HDL程序设计教程》程序例子,带说明。 【例 3.1】4 位全加器 【例 3.2】4 位计数器 【例 3.3】4 位全加器的仿真程序 【例 3.4】4 位计数器的仿真程序 【例 3.5】“与-或-非”门电路 【例 5.1】用 case语句描述的 4 选 1 数据选择器 【例 5.2】同步置数、同步清零的计数器 【例 5.4】用 initial过程语句对测试变量 A、B、C 赋值 【例 5.5】用 begin-end 串行块产生信号波
  3. 所属分类:嵌入式

    • 发布日期:2009-09-08
    • 文件大小:130048
    • 提供者:kevinsjtu
  1. Neusoft公司Java编码规范

  2. Neusoft公司Java编码规范 目的 5 范围 6 前提条件 7 Java编码规范概要 8 1 代码风格 8 1.1 一般格式说明 8 1.2 基本结构 8 2 代码结构 9 2.1 变更履历 9 2.2 Package声明 11 2.3 Import声明 12 2.4 Class Header 13 2.5 Class 14 2.6 常量(Menifest Variables) 16 2.7 成员变量(Instance Variables) 17 2.8 初始块(Initializer
  3. 所属分类:Java

    • 发布日期:2009-11-12
    • 文件大小:135168
    • 提供者:pobaby
  1. VHDL 程序举例,设计很多基础试验

  2. 最高优先级编码器、8位相等比较器 、优先编码器、8位大小比较器 、8位总线收发器:74245 (注2)、地址译码(for m68008) 、三人表决器(三种不同的描述方式) LED七段译码 (注1) 、多路选择器(使用if-else语句)、双2-4译码器:74139 、多路选择器(使用when-else语句)、汉明纠错吗编码器 、多路选择器(使用select语句)、汉明纠错吗译码器 、加法器描述 、四D74175 用状态机实现的计数器 、简单的锁存器、各种功能的计数器 、简单的12位寄存器 模
  3. 所属分类:专业指导

    • 发布日期:2010-05-15
    • 文件大小:68608
    • 提供者:e21702924h
  1. verilog HDL经典程序实例135例

  2. Verilog HDL程序设计教程》程序例子,带说明。【例 3.1】4 位全加器 【例 3.2】4 位计数器【例 3.3】4 位全加器的仿真程序 【例 3.4】4 位计数器的仿真程序【例 3.5】“与-或-非”门电路【例 5.1】用 case语句描述的 4 选 1 数据选择器【例 5.2】同步置数、同步清零的计数器【例 5.4】用 initial过程语句对测试变量 A、B、C 赋值【例 5.5】用 begin-end 串行块产生信号波形【例 5.6】用 fork-join 并行块产生信号波形【
  3. 所属分类:嵌入式

    • 发布日期:2010-07-23
    • 文件大小:158720
    • 提供者:do622
  1. oracle知识库CHM文档

  2. ORACLE 2000年问题白皮书 1. 导言 解决2000年兼容性问题,需要在日期的数据类型处理方面满足下列五个主要因素: 1. 正确处理2000年1月1日以前、当天及之后的日期信息、接收日期输入、提供日期输出、进行日期或日期子项的计算。 2. 随着新世纪的到来,采用正确的配置,以保证正常执行2000年1月1日以前、当天及之后的文件。 3. 在适当之处,以公开确定的方式解决世纪取值的含糊问题,以作为对两位数字日期输入的响应。 4. 以明确指出世纪的方式进行日期信息的存储和输出。 5. 根据q
  3. 所属分类:Oracle

    • 发布日期:2010-10-13
    • 文件大小:1048576
    • 提供者:lovesmileman
  1. Verilog-HDL实践与应用系统设计

  2. Verilog-HDL实践与应用系统设计本书从实用的角度介绍了硬件描述语言Verilog-HDL。通过动手实践,体验Verilog-HDL的语法结构、功能等内涵。在前五章,以简单的实例列举了Verilog-HDL的用法;在后四章,以应用系统为例详细讲解了系统设计的全过程。书中的全部例子都给出了仿真结果,其源代码都在本书所附的CD-ROM中,并均经过验证无误。 本书的前半部分特别适合于初学者,也可作为工程技术人员的参考内容。后半部分很适合工程开发和研究人员参考。本书除了介绍Verilog-HDL
  3. 所属分类:嵌入式

    • 发布日期:2011-02-22
    • 文件大小:14680064
    • 提供者:zhlyz2003
  1. verilog HDL设计实例

  2. 【例 3.1】4 位全加器 【例 3.2】4 位计数器 【例 3.3】4 位全加器的仿真程序 【例 3.4】4 位计数器的仿真程序 【例 3.5】“与-或-非”门电路 【例 5.1】用 case语句描述的 4 选 1 数据选择器 【例 5.2】同步置数、同步清零的计数器 【例 5.4】用 initial过程语句对测试变量 A、B、C 赋值 【例 5.5】用 begin-end 串行块产生信号波形 【例 5.6】用 fork-join 并行块产生信号波形 【例 5.7】持续赋值方式定义的 2 选
  3. 所属分类:专业指导

    • 发布日期:2011-06-14
    • 文件大小:158720
    • 提供者:wwe12580
  1. 论坛弹幕播放器(DZ测试可用,可以简单修改移植到PHPwind)

  2. 首先,这个插件并不是傻瓜式安装的,很多东西需要自己去调试,以下只给有一定基础的人,所以纯伸手党就不用看了 申明: 弹幕的接收和发送的最初源码来自此贴:http://www.discuz.net/thread-2499499-1-1.html 注: 下载后发现问题很多,所以我自己修改了一遍,剔除了代码中的大部分不合理的地方加入了控制最大弹幕数的,编码GBK测试无问题,utf-8未测试(应该是不会有问题的)。 此源码为最初版,后来还重写了记录吐槽者IP、整合至视频展厅以及管理弹幕的简易后台,根据情
  3. 所属分类:PHP

    • 发布日期:2012-07-17
    • 文件大小:419840
    • 提供者:sunyanyu
  1. 汉字unicode编码获取工具

  2. 获取汉字unicode编码的工具(java环境),自己需要用到,所以写了一个,很简单,支持语句获取,介绍完了。
  3. 所属分类:Java

    • 发布日期:2012-10-18
    • 文件大小:10240
    • 提供者:rongalong
  1. AVS脚本编写器

  2. AviSynth是一个媒体滤镜处理工具,AVS是其语句脚本,稍微有点视频编码常识都该知道它及其好处 AVSWriter是一个傻瓜的AVS脚本编写器,主要针对不懂AVS的人,几个简单即可操作编写,方便懒人
  3. 所属分类:其它

    • 发布日期:2013-01-11
    • 文件大小:589824
    • 提供者:hylan21
  1. Python编码风格指南(中文版)

  2. 1. 概述 1.1 Python 语言方面的准则 1.2 Python 编码风格方面的准则 2. Python 语言方面的准则 2.1 pychecker 2.2 导入模块和包 2.3 完整路径导入 2.4 异常处理 2.5 全局变量 2.6 内嵌/本地/内部类和函数 2.7 List Comprehensions 2.8 默认迭代器和运算符 2.9 生成器 2.10 使用 apply filter map reduce 2.11 Lambda functions 2.12 默认参数值 2.1
  3. 所属分类:Python

    • 发布日期:2014-07-12
    • 文件大小:265216
    • 提供者:jsntghf
  1. 深度学习语句编码器python

  2. 语句自动编码的java实现,能够实现潜在语义理解,实现对语句的深度语义提取
  3. 所属分类:深度学习

    • 发布日期:2018-01-24
    • 文件大小:31457280
    • 提供者:linjiebelfast
  1. 互联网开发|通用调试工具(http请求测试,参数签名,RSA加解密,google验证器,js简单混淆,sql格式化,批量修改文件名)等)

  2. 互联网开发工作中,经常需要一些辅助处理,例如:http接口访问测试,参数名排序/签名计算,加密解密,编码解码,替换短信验证的google验证器调试,js简单混淆,cmd指令程序运行,批量生成某种语句指令,生成RSA秘钥对,批量修改文件名,Sql格式化追加等...这些调试辅助功能,本软件都已具备,有了这款小软件,您的开发效率将得到很大的提高。 如果有不懂的可以加入qq群聊:326352171
  3. 所属分类:Web开发

    • 发布日期:2020-03-29
    • 文件大小:7340032
    • 提供者:key12315
  1. text-corpus-analysis-源码

  2. 文本语料库分析 词汇探究可产生很少的统计数据,例如常见单词的数量,数据集的唯一单词以及查找出现在五种,四种,三种,两种和两种中的单词所占的百分比,包括和不包括停用词列表。 Sentence Vector Exploration(句子向量探究)基于两种句子向量表示方法的捕获能力比较它们的能力。 其中包括Spark ML库使用基于TDIDF的矢量表示以及Google发布的预训练语句编码器。 设置环境 创建EMR集群 You are suggested to download the MNLI dat
  3. 所属分类:其它

    • 发布日期:2021-03-14
    • 文件大小:9216
    • 提供者:weixin_42112658
  1. ATEM_tally_light_with_ESP8266:与ATEM切换器一起使用的无线提示灯,使用D1迷你板(ESP8266 WiFi模块)通过WiFi连接。-源码

  2. ATEM提示灯 无线提示灯,可与ATEM切换器一起使用。 仅使用D1迷你板(ESP8266 WiFi模块)和RGB LED或LED灯条通过WiFi连接。 该解决方案不受ATEM切换台连接限制的限制,可以根据需要连接任意数量。 通过更改include语句和其他一些东西,应该可以很容易地转换为与ESP32或常规Arduino开发板和WiFi模块一起使用(但是,未经测试)。 DIY指南在可用。 无需编码! 它有什么作用? 设置完成后,它将自动通过WiFi连接到ATEM切换器,并用作提示灯。
  3. 所属分类:其它

    • 发布日期:2021-02-18
    • 文件大小:2097152
    • 提供者:weixin_42181888
  1. AI_Sarcasm_Generation:回购包含我在与同事的机器学习Msc课程期间所做的项目的代码,模型和开发。 我们试图训练一个RNN编码器-解码器,它可以产生讽刺性的句子-源码

  2. AI_Sarcasm_Generation 该项目的目的是建立将在自然语言处理(NLP)领域中使用的神经网络算法,以建立特定的人类交互数据集。 在过去的几年中,在文本和语言生成方面,深度学习算法的性能越来越优于传统模型。 因此,我们试图采用不同的深度学习模型,以生成对人类中立的输入评论做出回应的讽刺性句子。 我们在此项目中进行的所有实验都是基于过去的研究,这些研究大多试图对讽刺评论进行分类。 因此,这是一个已经消除了讽刺评论的数据集,我们不需要在数据收集上浪费时间。 在该项目期间,为了确定模型
  3. 所属分类:其它

    • 发布日期:2021-02-11
    • 文件大小:1048576
    • 提供者:weixin_42104181
  1. SQift:SQLite的强大Swift包装器-源码

  2. SQift SQift是SQLite的轻量级Swift包装器。 产品特点 磁盘上,内存中和临时数据库连接 SQL语句执行 通用参数绑定和值提取 可编码和可编码集合绑定 用于值,行和集合的简单查询API 交易和保存点 跟踪和跟踪事件支持 标量和聚合函数 提交,回滚,更新,授权者挂钩 WAL检查点 每个数据库连接用于串行执行的ConnectionQueue 用于并行执行只读连接的ConnectionPool 顶级数据库可简化线程安全的读写 数据库迁移支持 数据库备份
  3. 所属分类:其它

    • 发布日期:2021-02-04
    • 文件大小:138240
    • 提供者:weixin_42151599
  1. go-json:与Go的encodingjson兼容的快速JSON编码器解码器-源码

  2. go-json 与Go的encoding / json兼容的快速JSON编码器/解码器 安装 go get github.com/goccy/go-json 如何使用 将import语句从encoding/json替换为github.com/goccy/go-json -import "encoding/json" +import "github.com/goccy/go-json" 基准测试 $ cd benchmarks $ go test -bench . 编码 最快的 解码 比jso
  3. 所属分类:其它

    • 发布日期:2021-02-03
    • 文件大小:370688
    • 提供者:weixin_42116847
« 12 3 4 5 6 7 8 9 10 ... 20 »