您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 记事本软件 还原 可以高亮显示各种语法 自定义语法高亮 支持ANSI,Unicode,UTF-8等编码互换

  2. 特点: 1 自定义语法高亮,支持HTML, XML, CSS, Javascr ipt, VBscr ipt, ASP, PHP, CSS, Perl/CGI,C/C++, C#, Java, VB, Pascal, Assembler, SQL, Python, NSIS,INI, REG, INF, BAT, DIFF等众多文件 2 支持ANSI,Unicode,UTF-8等编码互换 3 可以设置无限个书签(9种图标可换)轻松定位 4 空格,制表符彩色显示, 并可互相转换 5 可以对任意的
  3. 所属分类:Web开发

    • 发布日期:2009-05-07
    • 文件大小:308224
    • 提供者:chenzhenyi530
  1. 支持语法高亮的SciTE简单小巧的文本编辑器

  2. SciTE 是个开源的优秀且小巧功能强大的编辑器!它支持代码高亮、自动完成、代码折叠、括号匹配、自定义模块等! 它几乎可以用到目前任何的主流语言上面,而且还支持众多的配置文件的高亮折叠,突出等效果!如 Apache 的 conf 文件 php.ini 文件像平时我们在改这些文件的是后往往为了找到某字段而费劲功夫,如今你大可以轻松的去配置它们了. SciTE最强打的地方无疑就是它的自配置功能了!他的配置文件就在主文件下,后缀为 properties 的文件。它们分别是用于各语言和程序的配置设置,
  3. 所属分类:C++

    • 发布日期:2009-05-28
    • 文件大小:908288
    • 提供者:whf5566
  1. UEStudio 9.10语法高亮显示文件

  2. 安装UEStudio 9.10后,打开C/C++,以及其他语言文件,没有语法高亮显示 C:\Documents and Settings\用户名\Application Data\IDMComp\UEStudio 下建文件夹wordfiles,把压缩包里的文件解压到wordfiles下面
  3. 所属分类:C

    • 发布日期:2009-07-27
    • 文件大小:120832
    • 提供者:njzhiyuan
  1. 编译原理课程设计 语法高亮转换软件

  2. 在我们使用的集成化编译环境(IDE)中,C++语言的源代码中的关键字通常使用高亮语法表示。例如,include、define、int、char等。但如果将这种源代码发布到网页中,它的高亮语法将消失,使得看起来非常不直观。于是,这次课设的任务即通过编写语法高亮转换程序使网页中的代码仍能保持原来的高亮语法表示。即输入的是后缀为CPP的文件,输出的是后缀为html的文件。 这次课程设计的目的要求我们基于词法分析语法高亮转换软件将C++源代码转换为网页文件,在浏览器中打开网页文件时,网页中显示C++源
  3. 所属分类:C++

  1. 最新Ultra Edit 语法高亮全语言包

  2. 最新的Ultra Edit 语法高亮全语言包,支持目前流行的多种编程语言,经本人亲自测试。在解压出来的的文件夹中找到你需要的语言.txt文件。然后在UE的 高级—配置—编辑器显示—语法加亮—浏览然后选择你要装入的txt文件,就可以使用你要的格式了。
  3. 所属分类:其它

    • 发布日期:2010-09-27
    • 文件大小:3145728
    • 提供者:jsing88
  1. 实现语法高亮显示的VC代码

  2. 一个实现很多语言的语法高亮显示(包括C++、Java等)的源码。实现语法高亮显示主要由四个文件完成的:Syntax.h、Syntax.cpp、SynEditView.h和SynEditView.cpp。其中Syntax.h、Syntax.cpp包含了一系列语言的语法分析的API函数。 CSynEditView一个支持语法编辑的视图类。 使用方法非常简单:将Syntax.h、Syntax.cpp、SynEditView.h和SynEditView.cpp四个文件导入你的工程,便可轻松的获得如VC
  3. 所属分类:C++

    • 发布日期:2010-10-16
    • 文件大小:66560
    • 提供者:clever101
  1. 语法高亮控件(ocx)

  2. 这个是我用vb写的一个语法高亮的控件,可以自己修改配置文件来调整高亮。还可以简单的格式化文本。默认的包含一个SQL的配置文件(其实我的初衷就是用于SQL的语法高亮显示的)使用方法:regsvr32 $path\LFText.ocx ,如果是VB就可以直接在引用或者部件里面看到 Longfei_Text_ocx了。有问题可以联系我。
  3. 所属分类:VB

    • 发布日期:2008-03-07
    • 文件大小:30720
    • 提供者:longfeisoft
  1. 代码管理-语法高亮,便于各种代码查看

  2. 主要功能:语法高亮,便于各种代码查看 扩充功能:进行代码管理,树状无限制分类 开发说明: 1语法定义文件是在EditPlus的语法文件上进行扩充而成,完全自定义 如果要支持其它语法,请到http://www.editplus.com/files.html下载相应文件再自行更改 2如果VB和RichEdit某些方面的限制,不要定义太多关键字,否则速度可能很慢 增加了RTF转换为HTML格式的功能(只转换窗口中选中的文本)
  3. 所属分类:VB

    • 发布日期:2010-11-21
    • 文件大小:158720
    • 提供者:w1984721
  1. EditPuls SAP-ABAP语法高亮文件

  2. EditPuls SAP-ABAP语法高亮文件
  3. 所属分类:其它

    • 发布日期:2011-06-03
    • 文件大小:3072
    • 提供者:xiaoyunpeng
  1. UE 语法高亮文件全集(500多种)

  2. UE 语法高亮文件全集(500多种). 其中包含nasm汇编语言的高亮文件,我学nasm汇编需要一个高亮文件,从官网上下的,还有许多其它语言的高亮文件,使用方法: 自己将下载的或手写的uew文件放在UltraEdit安装目录的wordfiles文件夹下,发现不好使, 原来UltraEdit在用户的目录下偷偷建了一个配”置文件夹,比如我的是 C:\Documents and Settings\Administrator\Application Data\IDMComp\UltraEdit,只需要
  3. 所属分类:硬件开发

    • 发布日期:2012-01-09
    • 文件大小:2097152
    • 提供者:zhuce0001
  1. python2.6 语法高亮文件

  2. python2.6 语法高亮文件
  3. 所属分类:Linux

    • 发布日期:2012-06-17
    • 文件大小:16384
    • 提供者:intel80586
  1. UE汇编语法高亮文件和使用技巧

  2. 自己用的Holtek , Elan , PIC 三种单片机汇编语言支持文件: 1.支持汇编语法高亮 2.支持子程序(函数)列表显示 3.支持新版本UE和老版本UE(.uew文件和worldfile.txt文件) 4.附带了常用UE正则表达式(.xls) 5.附带了UE常用操作技巧(.doc)
  3. 所属分类:专业指导

    • 发布日期:2012-11-30
    • 文件大小:64512
    • 提供者:yingang2009
  1. ue语法高亮文件全集(500多种)

  2. ue语法高亮文件全集(500多种),直接导入你的ue配置目录wordfiles下使用
  3. 所属分类:其它

    • 发布日期:2013-03-11
    • 文件大小:2097152
    • 提供者:skykingzx
  1. lua语法高亮文件

  2. lua语法高亮文件,加载到editplus等编辑器,lua文件就会有语法高亮的效果
  3. 所属分类:其它

    • 发布日期:2014-04-17
    • 文件大小:5120
    • 提供者:d87025284
  1. UE语法高亮文件全集(500多种)

  2. UE 语法高亮文件全集(500多种). 其中包含nasm汇编语言的高亮文件,我学nasm汇编需要一个高亮文件,从官网上下的,还有许多其它语言的高亮文件,使用方法: 自己将下载的或手写的uew文件放在UltraEdit安装目录的wordfiles文件夹下,发现不好使, 原来UltraEdit在用户的目录下偷偷建了一个配”置文件夹,比如我的是 C:\Documents and Settings\Administrator\Application Data\IDMComp\UltraEdit,只需要
  3. 所属分类:其它

    • 发布日期:2016-11-27
    • 文件大小:2097152
    • 提供者:jpl821216
  1. ue语法高亮文件

  2. ue语法高亮文件下载 UltraEdit的语法高亮显示配置:UltraEdit和高亮文件关联:启动UltraEdit ——>高级——>配置——>编辑器显示——>语法高亮,在词语列表的完整路径中指定到你安装的目录下的wordfile文件,然后重新启动UltraEdit即可。 我的包里有600多个现成的文件,大家也可以到官网下载地址:http://www.ultraedit.com/downloads/extras/wordfiles.html
  3. 所属分类:其它

    • 发布日期:2017-01-02
    • 文件大小:1048576
    • 提供者:xiazaiva
  1. ultraedit编辑verilog的语法高亮文件

  2. 文件下载后复制到C:\Users\XXXX\AppData\Roaming\IDMComp\UltraEdit\wordfiles文件夹中,其中文件夹AppData文件夹可能被隐藏,然后打开UltraEdit点击高级中的设置,搜索语法高亮,在文档的完整目录名称选项中先任意选择一个地址应用,然后再换回C:\Users\XXXX\AppData\Roaming\IDMComp\UltraEdit\wordfiles应用,设置完成。
  3. 所属分类:其它

    • 发布日期:2020-05-09
    • 文件大小:4096
    • 提供者:Mr_l_i_u
  1. EmEditor的120多个语法高亮文件(esy)

  2. 收集了120多个EmEditor语法高亮文件,共享给大家。 1C.esy abap.esy abaqus.esy actionscr ipt2.0.esy actionscr ipt3.0.esy Actionscr iptMX.esy aeexpressions2.0.esy aescr ipt2.0.esy ahk.esy apache1.3.esy apache2.0.esy arm7.esy asp.esy atmel.esy autoit3.esy au
  3. 所属分类:其它

    • 发布日期:2020-01-04
    • 文件大小:529408
    • 提供者:langeryang1
  1. editplus文本编辑器windows batch语法高亮文件

  2. editplus文本编辑器自带的语法高亮文件很少,但是我们可以扩展,这个就是windows batch语法高亮文件。
  3. 所属分类:其它

    • 发布日期:2020-06-26
    • 文件大小:1024
    • 提供者:lk583998442
  1. 让VIM支持Nginx .conf文件语法高亮显示功能的方法

  2. 前言 本文主要介绍了关于让VIM支持Nginx .conf文件语法高亮显示功能的方法,下面话不多说,来一起看看详细的介绍吧。 我们在编辑配置 Nginx 的配置文件(.conf)时,由于他没有高亮的功能,但是 Nginx 官方是支持这个功能的;要想在编辑配置 Nginx 配置文件(.conf)的时候高亮语法以降低配置的错误发生率,我们只需要使用 Nginx 官方的语法高亮文件就可以了。 手动修改 下载Nginx配置文件的语法文件:nginx.vim wget http://www.vim.o
  3. 所属分类:其它

    • 发布日期:2021-01-20
    • 文件大小:56320
    • 提供者:weixin_38732252
« 12 3 4 5 6 7 8 9 10 ... 46 »