您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. Verilog语言键盘消抖

  2. FBGA键盘消抖程序,Verilog语言
  3. 所属分类:C/C++

    • 发布日期:2009-05-24
    • 文件大小:293888
    • 提供者:xcxhsr
  1. vhdl 键盘消抖程序

  2. 本人自己写的,实验可用 里面有详细说明。
  3. 所属分类:C/C++

    • 发布日期:2009-08-18
    • 文件大小:676
    • 提供者:fuzhankui2008
  1. 4*4矩阵键盘 按键消抖 单片机c语言

  2. 4*4矩阵键盘 按键消抖 单片机c语言 键盘扫描子程序
  3. 所属分类:C

    • 发布日期:2009-08-31
    • 文件大小:12288
    • 提供者:dubangsheng
  1. 键盘接口软件消抖程序设计

  2. 此程序是用C语言编写的键盘接口消抖程序,用于单片机开发中的应用
  3. 所属分类:C

    • 发布日期:2011-07-09
    • 文件大小:3072
    • 提供者:liu_liu_1990
  1. 单片机键盘电路设计与消抖处理

  2. 本文设计了一种单片机键盘电路,比传统的矩阵键盘更能节省I/O端口,并且不需要扫描程序。所采用的消抖处理也有很大改进。
  3. 所属分类:C

    • 发布日期:2011-11-28
    • 文件大小:201728
    • 提供者:iuyinxiao002
  1. msp430中4*4矩阵键盘中断,彩灯验证

  2. msp430169中端口P2用来接矩阵键盘,键盘消抖,上升沿触发中断,按键子程序中带有流水灯代码
  3. 所属分类:C/C++

  1. 键盘消抖--verilog

  2. 注释具体,verilog的按键消抖代码,完整
  3. 所属分类:硬件开发

    • 发布日期:2013-08-23
    • 文件大小:1024
    • 提供者:a275761919
  1. 基于STM32l152 的4*8矩阵键盘扫描程序

  2. 基于STM32l152做的4*8矩阵键盘,采用定时器消抖,扫描效率高
  3. 所属分类:C

    • 发布日期:2014-03-04
    • 文件大小:4096
    • 提供者:cqupt_ran
  1. 基于vhdl电子琴设计 代码

  2. 使用4*4矩阵键盘实现了简易电子琴功能,带有按键消抖电路 代码注释比较详细 代码使用VHDL实现 亲测可用
  3. 所属分类:硬件开发

    • 发布日期:2014-04-21
    • 文件大小:19456
    • 提供者:wxedmend
  1. AT89S52单片机结构+C语言注意事项+键盘消抖

  2. AT89S52单片机结构+C语言注意事项+键盘消抖
  3. 所属分类:讲义

    • 发布日期:2014-05-29
    • 文件大小:5242880
    • 提供者:dragon12345666
  1. verilog的独立键盘消抖与仿真,规范书写,简单易懂

  2. 使用有限状态机编写独立键盘消抖程序,直接可以移植使用,简单易懂,适合新手解读,对学习仿真软件和程序的编写有极大帮助
  3. 所属分类:硬件开发

    • 发布日期:2017-06-28
    • 文件大小:3145728
    • 提供者:cucgyfjklx
  1. Verilog编写矩阵键盘,含有消抖,模拟仿真,有限状态机的使用

  2. FPGA使用矩阵键盘,减少IO口的使用,可以直接移植使用,对新手的学习很有帮助,了解有限状态机的思路
  3. 所属分类:硬件开发

    • 发布日期:2017-06-30
    • 文件大小:3145728
    • 提供者:cucgyfjklx
  1. key_jitter 按键消抖源代码

  2. 如果使用机械式键盘,由于存在机械触动的弹性作用,一个按键开关在闭合时不会马上稳定地接通,在断开时候也不会马上断开,因而在闭合及断开按键的瞬间均伴随有一连串的抖动
  3. 所属分类:嵌入式

    • 发布日期:2018-10-24
    • 文件大小:475
    • 提供者:qq_41411659
  1. 关于ARM的独立按键识别程序消抖的讨论

  2. 我相信在嵌入式系统中,按键作为输入设备,是经常存在于各种系统中的。当然按键或者叫做键盘 分很多种,我们最常用的当然是 独立按键 和 矩阵键盘 当然我们这里不是要讨论这两种键盘的区别与联系,也不讨论着两种键盘的识别代码。我们今天只讨论独立按键的识别方法。或者说有别于传统 的一种识别方法。
  3. 所属分类:其它

    • 发布日期:2020-08-03
    • 文件大小:73728
    • 提供者:weixin_38595356
  1. 电子测量中的按键消抖电路瞬态设计及分析

  2. 按键是仪器仪表中普遍采用的人机输入接口电路。在按键电路中必须考虑对按键的抖动进行软件消抖和硬件消抖。       消抖具有使用硬件数量少的优点,但也具有以下两个缺点:   (1)在仪器键盘电路中,多个按键安装在仪器面板上,键盘的输出通过排线连接到主控板上,此时键盘导线寄生电感和寄生电容的存在,寄生电感寄生电容和排线电阻将组成二阶振荡系统,二阶振荡将形成负电平脉冲,而负电平脉冲很容易超出数字芯片的输入最大允许电平范围,导致数字芯片容易损坏。   (2)按键闭合和断开时,电压信号下降沿非常陡峭
  3. 所属分类:其它

    • 发布日期:2020-10-19
    • 文件大小:352256
    • 提供者:weixin_38665804
  1. EDA/PLD中的基于VHDL语言的按键消抖电路设计及仿真

  2. 按键开关是电子设备实现人机对话的重要器件之一。由于大部分按键是机械触点,在触点闭合和断开时都会产生抖动。为避免抖动引起误动作造成系统的不稳定,就要求消除按键的抖动,确保按键每按一次只做一次响应。随着可编程逻辑器件的综合性能的不断提高,它已经象单片机一样。广泛应用在各种数字逻辑领域。用可编程逻辑器件直接获取键盘信息也得到广泛的应用。这里提出用VHDL语言编程的有限状态机的设计方法来实现按键的消抖,经仿真分析和下载实现,这种方法设计的消抖电路能够很好地实现电路功能,进行快速按键时都能保证每按一次做一
  3. 所属分类:其它

    • 发布日期:2020-11-08
    • 文件大小:157696
    • 提供者:weixin_38617602
  1. EDA/PLD中的EDA的按键消抖电路设计

  2. 如果使用机械式键盘,由于存在机械触动的弹性作用,一个按键开关在闭合时不会马上稳定地接通,在断开时候也不会马上断开,因而在闭合及断开按键的瞬间均伴随有一连串的抖动,如图所示。抖动时间的长短由按键的机械特性诀定,一般为5~10 ms。   如图 按键时的抖动   按键的闭合稳定时间的长短由操作人员的按键动作决定,一般为零点几秒至数秒。按键抖动会引起按键被误读多次,为了确保CPLD对键的闭合仅作一次处理,必须去除按键抖动。   通常在按键较少时可以用硬件方法消除抖动,一般可用RS触发器作为常
  3. 所属分类:其它

    • 发布日期:2020-11-16
    • 文件大小:63488
    • 提供者:weixin_38502916
  1. 按键消抖电路瞬态设计及分析

  2. 按键是仪器仪表中普遍采用的人机输入接口电路。在按键电路中必须考虑对按键的抖动进行软件消抖和硬件消抖。       消抖具有使用硬件数量少的优点,但也具有以下两个缺点:   (1)在仪器键盘电路中,多个按键安装在仪器面板上,键盘的输出通过排线连接到主控板上,此时键盘导线寄生电感和寄生电容的存在,寄生电感寄生电容和排线电阻将组成二阶振荡系统,二阶振荡将形成负电平脉冲,而负电平脉冲很容易超出数字芯片的输入允许电平范围,导致数字芯片容易损坏。   (2)按键闭合和断开时,电压信号下降沿非常陡峭,剧
  3. 所属分类:其它

    • 发布日期:2021-01-20
    • 文件大小:319488
    • 提供者:weixin_38528939
  1. EDA的按键消抖电路设计

  2. 如果使用机械式键盘,由于存在机械触动的弹性作用,一个按键开关在闭合时不会马上稳定地接通,在断开时候也不会马上断开,因而在闭合及断开按键的瞬间均伴随有一连串的抖动,如图所示。抖动时间的长短由按键的机械特性诀定,一般为5~10 ms。   如图 按键时的抖动   按键的闭合稳定时间的长短由操作人员的按键动作决定,一般为零点几秒至数秒。按键抖动会引起按键被误读多次,为了确保CPLD对键的闭合仅作处理,必须去除按键抖动。   通常在按键较少时可以用硬件方法消除抖动,一般可用RS触发器作为常用的
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:65536
    • 提供者:weixin_38631401
  1. 基于VHDL语言的按键消抖电路设计及仿真

  2. 按键开关是电子设备实现人机对话的重要器件之一。由于大部分按键是机械触点,在触点闭合和断开时都会产生抖动。为避免抖动引起误动作造成系统的不稳定,就要求消除按键的抖动,确保按键每按只做响应。随着可编程逻辑器件的综合性能的不断提高,它已经象单片机一样。广泛应用在各种数字逻辑领域。用可编程逻辑器件直接获取键盘信息也得到广泛的应用。这里提出用VHDL语言编程的有限状态机的设计方法来实现按键的消抖,经仿真分析和实现,这种方法设计的消抖电路能够很好地实现电路功能,进行快速按键时都能保证每按做的响应,且性能稳定
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:201728
    • 提供者:weixin_38673921
« 12 3 4 »