您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 阵列乘法器的设计与实现.rar

  2. 四位阵列乘法器的原理框图如图1.1所示,X=X1X2X3X4 Y=Y1Y2Y3Y4且X为被乘数的输入端,Y为乘数的输入端,M=M0M1M2M3M4M5M6M7为乘积的输出端。其基本原理是阵列的每一行送入乘数Y的每一位数位,而各行错开,形成的每一斜列则有被乘数X的每一位控制。 四位阵列乘法器的整体设计包含十六个加法器模块,虽然加法器数量多,但内部规则化,标准化程度高。加法器模块中由一个与门和一个全加器构成,由四个与门、两个异或门、一个三端接口的或门构成的全加器为底层设计。 顶层的四位阵列乘法器也
  3. 所属分类:其它

    • 发布日期:2020-07-11
    • 文件大小:378880
    • 提供者:u012429555