您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 低功耗模式以及降低功耗技术

  2. 目录1 简介 ............................................................................22 功耗模式......................................................................22.1 功耗模式转换 ......................................................22.2 核心睡眠和唤醒指令..........
  3. 所属分类:互联网

    • 发布日期:2020-05-12
    • 文件大小:1046528
    • 提供者:qq7418852
  1. 浅谈降低功耗的设计技巧

  2. 新一代 FPGA的速度变得越来越快,密度变得越来越高,逻辑资源也越来越多。那么如何才能确保功耗不随这些一起增加呢?很多设计抉择可以影响系统的功耗,这些抉择包括从显见的器件选择到细小的基于使用频率的状态机值的选择等。
  3. 所属分类:其它

    • 发布日期:2020-07-20
    • 文件大小:99328
    • 提供者:weixin_38718262
  1. 降低功耗的设计技巧讲解

  2. 使用这些设计技巧和ISE功能分析工具来控制功耗,新一代 FPGA的速度变得越来越快,密度变得越来越高,逻辑资源也越来越多。那么如何才能确保功耗不随这些一起增加呢?很多设计抉择可以影响系统的功耗,这些抉择包括从显见的器件选择到细小的基于使用频率的状态机值的选择等。
  3. 所属分类:其它

    • 发布日期:2020-08-05
    • 文件大小:100352
    • 提供者:weixin_38590775
  1. 提高功率降低功耗的几种方法

  2. 降低功耗不光能够大大的节约电能还能简化电源部分的设计, 甚至可以用于手持设备上面使用,这些都已经越来越成为未来产品的设计方向。
  3. 所属分类:其它

    • 发布日期:2020-08-04
    • 文件大小:53248
    • 提供者:weixin_38596093
  1. 浅谈提高功率降低功耗的几种方法

  2. 降低功耗不光能够大大的节约电能还能简化电源部分的设计,甚至可以用于手持设备上面使用,这些都已经越来越成为未来产品的设计方向。
  3. 所属分类:其它

    • 发布日期:2020-08-18
    • 文件大小:54272
    • 提供者:weixin_38696582
  1. 在嵌入式开发设计中如何降低功耗

  2. 本文主要从几个方面介绍了几种降低功耗的方法
  3. 所属分类:其它

    • 发布日期:2020-08-24
    • 文件大小:57344
    • 提供者:weixin_38690275
  1. 同步整流通过降低功耗提高效率

  2. 今天,我们都知道,使用同步整流器可以降低功耗,并提高散热性能。低功耗应用的降压转换器和控制器设计人员已经在使用这种方法。另外,人们还开发了同步升压控制器,用于解决升压应用的功率效率问题。
  3. 所属分类:其它

    • 发布日期:2020-08-29
    • 文件大小:160768
    • 提供者:weixin_38670949
  1. 工业电子中的Maxim全新工业PLC数字隔离方案有效降低功耗和系统成本

  2. Maxim 推出面向可编程逻辑控制器(PLC)数字输入子系统的参考设计Corona (MAXREFDES12#),有效降低功耗、系统成本和尺寸。该设计减少了隔离通道数量,实现工业控制与自动化应用的模拟整合。   减小PLC I/O方案尺寸的途径之一是减少数字隔离通道数量。Corona参考设计整合了包括变压器驱动器在内的三款Maxim器件,有效减少隔离通道数量,省去了耗电量较大的光耦和分立元件,从而使功耗降低16%、方案尺寸减小38%、成本缩减23%.Corona参考设计中采用的Maxim器件专
  3. 所属分类:其它

    • 发布日期:2020-10-20
    • 文件大小:111616
    • 提供者:weixin_38724611
  1. 同步整流通过降低功耗提高效率

  2. 一些应用要求尽可能高的功率效率。例如,在某种恶劣环境下,要求DC/DC电源在高环境温度下工作,这时就需要低功耗,以让半导体器件的结温保持在其额定范围以内。其他应用可能必须达到“能源之星”规范或者绿色模式标准的严格效率要求。电池供电型应用的用户希望获得最长的运行时间,而降低功耗可以直接延迟设备运行时间。今天,我们都知道,使用同步整流器可以降低功耗,并提高散热性能。低功耗应用的降压转换器和控制器设计人员已经在使用这种方法。另外,人们还开发了同步升压控制器,用于解决升压应用的功率效率问题。   典型
  3. 所属分类:其它

    • 发布日期:2020-10-20
    • 文件大小:174080
    • 提供者:weixin_38557980
  1. 嵌入式系统降低功耗的方法研究

  2. 嵌入式系统降低功耗的方法研究,摘要 低功耗嵌入式系统设计的能量消耗问题是近几年来在嵌入式系统设计中普遍关注的难点与热点,它严重制约着嵌入式系统的应用及发展。
  3. 所属分类:其它

    • 发布日期:2020-10-18
    • 文件大小:980992
    • 提供者:weixin_38724919
  1. 通信与网络中的Dell全新服务器采用四核技术并有效降低功耗

  2. 戴尔(Dell)宣布推出PowerEdge 2970与PowerEdge Energy Smart 2970两款全新服务器,专门为企业用户针对降低功耗,以及提升整体效能与每瓦效能的需求而设计。相较于上一代戴尔双核心服务器,新一代PowerEdge 2970服务器最多可节省34%的功耗,最高可增加105%的每瓦效能。    Dell PowerEdge 2970及PowerEdge Energy Smart 2970为首批由主要OEM厂商代工的产品,内建全新的双重动态电源管理(Dual Dynam
  3. 所属分类:其它

    • 发布日期:2020-11-27
    • 文件大小:59392
    • 提供者:weixin_38618540
  1. 单片机与DSP中的赛灵思XtremeDSP开发工具降低功耗并扩展Virtex-5 DSP应用的性能

  2. 全球可编程逻辑解决方案领导厂商赛灵思公司(Xilinx, Inc. (NASDAQ: XLNX))宣布其8.2 版本的XtremeDSP开发工具上市。这些工具包括System Generator for DSP及AccelDSP,其特色在于已优化的DSP支持赛灵思Virtex-5 LX 和 LXT,它们是业内唯一的65nm FPGA。新版软件工具使那些即使不熟悉FPGA的DSP系统设计工程师及算法开发工程师也能够设计、仿真和验证DSP系统。而且与前一代Virtex-4 LX FPGA相比,新的开
  3. 所属分类:其它

    • 发布日期:2020-11-25
    • 文件大小:77824
    • 提供者:weixin_38716563
  1. 嵌入式系统/ARM技术中的Actel全新ProASIC3L系列FPGA大幅降低功耗

  2. Actel公司为了进一步扩展其低功耗可编程解决方案组合,于1月7日为设计者引入高性能低功耗ProASIC3L系列的FPGA。与上一代ProASIC3 FPGA相比动态功耗降低了40%,静态功耗降低了91%,新款基于Flash的系列以降动态降低功耗与高达350 MHz的运算相结合。因此,如工业、医疗和科学等高性能市场设计者如今可以获取灵活的特性丰富的解决方案,从而可以提供高速,低功耗和低成本。   ProASIC3L系列还支持基于FPGA优化的32-bit ARM Cortex-M1处理器的自
  3. 所属分类:其它

    • 发布日期:2020-11-24
    • 文件大小:46080
    • 提供者:weixin_38528939
  1. 单片机与DSP中的赛灵思XtremeDSP开发工具降低功耗并扩展Virtex-5 DSP应...

  2. 全球可编程逻辑解决方案领导厂商赛灵思公司(Xilinx, Inc. (NASDAQ: XLNX))今天宣布其8.2 版本的XtremeDSP开发工具上市。这些工具包括System Generator for DSP及AccelDSP,其特色在于已优化的DSP支持赛灵思Virtex-5 LX 和 LXT,它们是业内唯一的65nm FPGA。新版软件工具使那些即使不熟悉FPGA的DSP系统设计工程师及算法开发工程师也能够设计、仿真和验证DSP系统。而且与前一代Virtex-4 LX FPGA相比,新
  3. 所属分类:其它

    • 发布日期:2020-12-03
    • 文件大小:78848
    • 提供者:weixin_38630139
  1. 电源技术中的降低功耗与优化性能并举的电源与性能管理技术SmartReflex

  2. 引言:尽管芯片级集成、亚微米制造工艺都有助于减小手机的尺寸并实现更多的功能,但是更小的亚微米工艺会加剧静态漏电流问题。于是便携式移动设备制造商面临既要降低功耗又要增强系统性能的艰巨挑战。本文讨论的SmartReflex技术可在SoC芯片级实现具有智能、自适应功能的电源和性能管理解决方案。 今天的无线移动设备功率预算面临着空前的挑战,只有功能强大的完整电源管理方法才能解决这些挑战。这种方法开始于工艺技术,并逐步向硬件、系统级芯片(SoC)架构和软件方面发展。无线运营商强烈呼吁在手机中增加更多的功
  3. 所属分类:其它

    • 发布日期:2020-12-13
    • 文件大小:94208
    • 提供者:weixin_38672840
  1. 通过延迟感知的C-RAN中的联合Hibernate策略和功率控制来降低功耗

  2. 通过延迟感知的C-RAN中的联合Hibernate策略和功率控制来降低功耗
  3. 所属分类:其它

    • 发布日期:2021-03-08
    • 文件大小:262144
    • 提供者:weixin_38529293
  1. Hastlayer-SDK:将.NET程序集转换为FPGA硬件,以加快执行速度并降低功耗。 请参阅自述文件和https:hastlayer.com-源码

  2. Hastlayer SDK自述文件 总览 是硬件。 Hastlayer自动将程序集转换为计算机芯片,从而为大型并行应用程序提高性能并降低功耗。 Hastlayer使用 (可以即时“重新连接”的芯片):只需选择.NET程序的计算绑定部分,然后Hastlayer即可将其与生成的FPGA实现无缝交换。 由于.NET中间语言程序集(而不是C#,VisualBasic或其他代码)已经过转换,因此,理论上您可以使用任何.NET语言(例如C#,VB,F#-包括示例,C ++,Python,PHP和Javas
  3. 所属分类:其它

    • 发布日期:2021-02-05
    • 文件大小:940032
    • 提供者:weixin_42112685
  1. 动态电压与频率调节在降低功耗中的作用

  2. 动态电压与频率调节在降低功耗中的作用、电子技术,开发板制作交流
  3. 所属分类:其它

    • 发布日期:2021-02-03
    • 文件大小:330752
    • 提供者:weixin_38613548
  1. 同步整流通过降低功耗提高效率

  2. 一些应用要求尽可能高的功率效率。例如,在某种恶劣环境下,要求DC/DC电源在高环境温度下工作,这时就需要低功耗,以让半导体器件的结温保持在其额定范围以内。其他应用可能必须达到“能源之星”规范或者绿色模式标准的严格效率要求。电池供电型应用的用户希望获得长的运行时间,而降低功耗可以直接延迟设备运行时间。今天,我们都知道,使用同步整流器可以降低功耗,并提高散热性能。低功耗应用的降压转换器和控制器设计人员已经在使用这种方法。另外,人们还开发了同步升压控制器,用于解决升压应用的功率效率问题。   典型应
  3. 所属分类:其它

    • 发布日期:2021-01-20
    • 文件大小:162816
    • 提供者:weixin_38544152
  1. Maxim全新工业PLC数字隔离方案有效降低功耗和系统成本

  2. Maxim 推出面向可编程逻辑控制器(PLC)数字输入子系统的参考设计Corona (MAXREFDES12#),有效降低功耗、系统成本和尺寸。该设计减少了隔离通道数量,实现工业控制与自动化应用的模拟整合。   减小PLC I/O方案尺寸的途径之一是减少数字隔离通道数量。Corona参考设计整合了包括变压器驱动器在内的三款Maxim器件,有效减少隔离通道数量,省去了耗电量较大的光耦和分立元件,从而使功耗降低16%、方案尺寸减小38%、成本缩减23%.Corona参考设计中采用的Maxim器件专
  3. 所属分类:其它

    • 发布日期:2021-01-20
    • 文件大小:109568
    • 提供者:weixin_38698311
« 12 3 4 5 6 7 8 9 10 ... 50 »