您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 图片网站源码分享

  2. 统一图片采集程序acc商业版 程序功能简介: 系统核心为新云网站内容管理系统 v3.1.0.1231 正式acc版 文章采集的同时可以选择是否下载图片到本地及分页采集。 全站生成HTML页面;增加系统安全性,自由设置生成HTML文件扩展名和存放目录 广告管理功能全部由系统生成JS文件管理, 避免了修改广告代码后需要重新生成HTML文件; 强大的模板后台,可灵活自由的生成模板标签、让您的站点版式自由改变。 完善的上传文件清理功能,为您清除垃圾文件; 需要注意的是: 为了新手易于使用,程序本身已经
  3. 所属分类:PHP

    • 发布日期:2013-08-03
    • 文件大小:1048576
    • 提供者:linquanxinyu
  1. 雷云稳定源码

  2. 雷云稳定源码,自行测试,先用小号,代码自己找,伤害自己把握。
  3. 所属分类:其它

    • 发布日期:2015-02-07
    • 文件大小:2097152
    • 提供者:qq_25891709
  1. C#迅雷云加速开放平台下载源码

  2. 迅雷云加速开放平台c#demo,很多人很遇到下载文件的问题。这个例子是调用迅雷云加速开放平台的dll,进行下载,速度很快。下载过程中可以获取到很全的下载信息,比如下载速度,进度,完成状态等,例子中带进度条。 特点: 支持断点续传。迅雷云加速。完善稳定的下载封装。
  3. 所属分类:C#

    • 发布日期:2016-10-08
    • 文件大小:1048576
    • 提供者:fuzhousea
  1. FPGA入门教程.pdf

  2. 1、数字电路设计入门 2、FPGA简介 3、FPGA开发流程 4、RTL设计 5、Quartus II 设计实例 6、ModelSim和Testbench112时序逻辑电路 时序逻辑电路由时钟的上升沿或下降沿驱动工作,其实真正被时钟沿驱动的是电路中的 触发器( Register),也称为寄存器。触发器的工作原理和参数如下图 Register的原理和参数 T DQ Clk Clk old tsu:建立时间,在时钟有效沿到来之前触发器数据输入应保持稳定的时间,如果建立时 间不够,数据将不能在这个时钟
  3. 所属分类:硬件开发

    • 发布日期:2019-07-28
    • 文件大小:6291456
    • 提供者:smart_devil
  1. 菜鸟工具一键重装系统 v3.2 官方最新版.zip

  2. 菜鸟工具一键重装系统是一款简单好用的windows系统重装工具软件。软件专为小白用户设计开发,软件操作简便,无需安装,无需U盘,更不需光盘,用户要做的只需要点一下鼠标即可实现系统重装功能。需要的朋友快来下载吧! 菜鸟工具一键重装系统功能特色 1.菜鸟小白必备,告别繁琐,简单易用 不需要任何技术基础,傻瓜式一键重装,全自动完成,不用明白装机流程,只需点击确定,就可实现一键安装 2.采用云技术,极速下载安装 采用迅雷最新云内核,实现了系统的快速下载,系统数据采用百度云,实现了系统的下
  3. 所属分类:其它

    • 发布日期:2019-07-14
    • 文件大小:6291456
    • 提供者:weixin_39840588
  1. 云点播beta接口源码 v2.0

  2. 相当稳定的一个云点播源码,在线网页云点播 完美支持拖动,迅雷云点播网页版源码可直接支持的格式如下: 普通的链接格式,例如:http、ftp开头的网址; 电驴格式,例如:ed
  3. 所属分类:其它

    • 发布日期:2020-10-06
    • 文件大小:51200
    • 提供者:weixin_38686924