您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 六位数字频率计数字电路

  2. 六位数字频率计 的运用 PPT格式 希望对大家有帮助
  3. 所属分类:专业指导

    • 发布日期:2009-06-30
    • 文件大小:932864
    • 提供者:ycwsliyang
  1. \6位数显频率计数器

  2. 利用AT89S51单片机的T0、T1的定时计数器功能,来完成对输入的信号进行频率计数,计数的频率结果通过8位动态数码管显示出来。要求能够对0-250KHZ的信号频率进行准确计数,计数误差不超过±1HZ。
  3. 所属分类:硬件开发

    • 发布日期:2009-08-28
    • 文件大小:65536
    • 提供者:zrm317719164
  1. 频率的测量在单片机设计中的应用

  2. 用单片机设计系统时,常常涉及到对频率进行测量,当被测频率较低时我们采用计数法,被测频率较高时我们采用周期法。 有英文摘要
  3. 所属分类:硬件开发

    • 发布日期:2009-11-30
    • 文件大小:150528
    • 提供者:dgchen942768
  1. 用单片机来设计频率计

  2. 1. 利用AT89S51单片机的T0、T1的定时计数器功能,来完成对输入的信号进行频率计数。 2.计数的频率结果通过6位动态数码管显示出来。
  3. 所属分类:硬件开发

    • 发布日期:2010-01-14
    • 文件大小:79872
    • 提供者:zhenjingle0000
  1. 三位十进制数字频率计

  2. 完成频率计数功能,LED显示计数的频率,三位十进制
  3. 所属分类:专业指导

    • 发布日期:2010-06-22
    • 文件大小:300032
    • 提供者:iddudumegaoyuan
  1. 自写的单片机频率计数器

  2. 利用AT89S51单片机的T0、T1的定时计数器功能,来完成对输入的信号进行频率计数,计数的频率结果通过8位动态数码管显示出来。要求能够对0-250KHZ的信号频率进行准确计数,计数误差不超过±1HZ 。c语言编写,有图;下载的朋友不要忘记评论,大家相互学习,共同探讨研究
  3. 所属分类:硬件开发

    • 发布日期:2010-06-26
    • 文件大小:64512
    • 提供者:jiangminjun007
  1. 单词的统计和频率计数的小工具

  2. 从磁盘中输入文件,然后对文件中的单词进行统计,并由高到低的顺序输出单词及其出现频率
  3. 所属分类:专业指导

    • 发布日期:2010-12-03
    • 文件大小:3072
    • 提供者:sky_too
  1. 单片机课程设计 数字频率计

  2. 单片机课程设计 数字频率计数字频率计的功能是测量某个周期信号在单位时间(1S)内变化次数的个数统计。本产品的设计力求结构简单、实用、经济,它的测量范围在0~~9999HZ之间,以一种直观的数字显示出来,便于读取数据;其优点是结构简单,经济方便,体积小,外观漂亮等,因而在工程实验研究中可以广泛采用
  3. 所属分类:软件测试

    • 发布日期:2011-04-11
    • 文件大小:897024
    • 提供者:ZZHDNF
  1. 采用EPM7128SLC制作的频率计数控制卡

  2. 采用CPLD芯片EPM7128SLC84制作的高速、高精度频率计数控制卡。主要用于石英晶体行业的微调工序。
  3. 所属分类:硬件开发

    • 发布日期:2011-05-07
    • 文件大小:216064
    • 提供者:yt1094
  1. 单片机频率计数器课程设计

  2. 利用8031单片机的T0、T1的定时计数器功能,来完成对输入的信号进行频率计数,计数的频率结果通过8位动态数码管显示出来。要求能够对0-250KHZ的信号频率进行准确计数,计数误差不超过±1HZ。
  3. 所属分类:硬件开发

    • 发布日期:2011-05-31
    • 文件大小:323584
    • 提供者:huxiaoxiahe
  1. 6位数显频率计数器

  2. 利用AT89S51单片机的T0、T1的定时计数器功能,来完成对输入的信号进行频率计数,计数的频率结果通过8位动态数码管显示出来。要求能够对0-250KHZ的信号频率进行准确计数,计数误差不超过±1HZ。
  3. 所属分类:嵌入式

    • 发布日期:2011-09-30
    • 文件大小:69632
    • 提供者:hk_dz
  1. 单片机的频率计设计

  2. 基于单片机的数字频率计设计,能够实现方波的频率计数
  3. 所属分类:其它

    • 发布日期:2012-05-24
    • 文件大小:26624
    • 提供者:tudonglang
  1. 简易的高频频率计

  2. 自己设计的一个频率计,经硬件电路验证是OK的。采用12M的晶振,利用T1口定时0.5s,利用T0口对所测频率计数。若在0.5s内的计数是X,则实际频率为2X。
  3. 所属分类:C

    • 发布日期:2013-01-06
    • 文件大小:1024
    • 提供者:yangjiahui
  1. 频率计数程序

  2. 在T0口检测频率跟据检测到的频率值,用3位数码管显示
  3. 所属分类:C

    • 发布日期:2013-05-24
    • 文件大小:896
    • 提供者:laipe
  1. 数字频率计数字频率计

  2. 数字频率计数字频率计数字频率计数字频率计数字频率计
  3. 所属分类:专业指导

    • 发布日期:2009-01-05
    • 文件大小:18432
    • 提供者:fan5572283
  1. 基于AT89S51的6位数显频率计数器

  2. 利用AT89S51单片机的T0、T1的定时计数器功能,来完成对输入的信号进行频率计数,计数的频率结果通过8位动态数码管显示出来。要求能够对0-250KHZ的信号频率进行准确计数,计数误差不超过±1HZ。
  3. 所属分类:硬件开发

    • 发布日期:2009-03-15
    • 文件大小:80896
    • 提供者:dsq860905
  1. 基于stm8的频率计数

  2. 基于单片机stm8s的频率计数及串口通讯
  3. 所属分类:C

    • 发布日期:2012-04-03
    • 文件大小:49152
    • 提供者:lee675398897
  1. 6位数显频率计数器设计

  2. 利用AT89S51单片机的T0、T1的定时计数器功能,来完成对输入的信号进行频率计数,计数的频率结果通过8位动态数码管显示出来。要求能够对0-250KHZ的信号频率进行准确计数,计数误差不超过±1HZ。
  3. 所属分类:硬件开发

    • 发布日期:2010-06-27
    • 文件大小:71680
    • 提供者:dianke4211
  1. 基于SCM的频率计数系统的设计与实现

  2. 利用现有教学资源将一个基于单片机最小系统的智能门禁电路进行改进,使其具有更多的电路功能,以此训练学生的应变能力和坚实的理论功底,顺应当前"以能力为本"的教育理念,增强学生的创新意识。
  3. 所属分类:其它

    • 发布日期:2020-07-04
    • 文件大小:297984
    • 提供者:weixin_38735119
  1. 频率计数-源码

  2. 频率计数
  3. 所属分类:其它

    • 发布日期:2021-02-20
    • 文件大小:4096
    • 提供者:weixin_42163404
« 12 3 4 5 6 7 8 9 10 ... 41 »