您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. RocketIO高速串行传输原理与实现.pdf

  2. RocketIO高速串行传输原理与实现.pdf RocketIO 高速串行传输 FPGA xilinx
  3. 所属分类:硬件开发

    • 发布日期:2010-07-13
    • 文件大小:306176
    • 提供者:hglikun
  1. 轻松实现高速串行,Rocket_IO

  2. 随着网络技术的不断发展,数据传输,数据交换流量越来越大。尤其像航空航天等高端领域,不仅需要能够处理大量复杂的数据,而且需要实时高速远程传输,需要长期稳定有效的信号加以支持,以便能够获得更加精准的数据收发信息,更好的为工程项目服务。然而,传统的并行传输方式由于走线多,信号间串扰大等缺陷,无法突破自身的速度瓶颈。而串行传输拥有更高的传输速率但只需要少量的信号线,降低了背板开发成本和复杂度,满足高频率远距离的数据通信需求,被广泛应用到各种高速数据通信系统设计中。
  3. 所属分类:硬件开发

    • 发布日期:2013-04-07
    • 文件大小:4194304
    • 提供者:ttkleon
  1. 高速串行背板总线的仿真设计.pdf

  2. 摘要 本文描述了一种基于高速串行背板的系统级信号完整性仿真,重点分析了两种关键网络即 1.25Gbps 的差分传输结构和 125MHz 的时钟分配网络。给出了仿真结果并分析了波形畸变的原因。
  3. 所属分类:电信

    • 发布日期:2019-12-28
    • 文件大小:257024
    • 提供者:beiyouxia
  1. RocketIO的高速串行通道设计与验证

  2. 绍Xilinx公司的Virtex4 FX系列FPGA中用于解决高速串行互连问题的Rocket IO模块的基本工作原理,并通过开发板验证了该模块在高速数据传输中的可靠性。
  3. 所属分类:其它

    • 发布日期:2020-07-23
    • 文件大小:100352
    • 提供者:weixin_38543950
  1. 基于JESD204协议的高速串行采集系统

  2. 在通信设施、成像设备、工业仪器仪表等需要大量数据的系统中,要求数据转换级提供越来越宽的分辨率和越来越高的采样率。并行接口的物理布局和串行LVDS方法的比特率限制,给设计人员带来技术障碍。文中基于Xilinx Vertx6 FPGA的GTX高速串行接口实现了JESD204B协议,有效地解决了传统采集数据并行传输时的各种问题。
  3. 所属分类:其它

    • 发布日期:2020-07-31
    • 文件大小:98304
    • 提供者:weixin_38659955
  1. 基于FPGA的高速串行数据收发接口设计

  2. 针对传统ADC/DAC应用中采样数据并行传输存在线间串扰大、同步难等问题,设计了一种基于高速串行协议——JESD204B的数据收发接口。以Xilinx公司V7系列FPGA为核心控制单元设计电路,在单通道传输速率为6 Gb/s的条件下完成数据收发测试,验证了传输过程中数据的同步性、准确性及整体方案的可行性。设计结果表明,这种串行传输方式不仅解决了并行传输所带来的诸多问题,还降低了制板设计时PCB布线的复杂程度、减少了板层数量、节约了成本。
  3. 所属分类:其它

    • 发布日期:2020-10-16
    • 文件大小:549888
    • 提供者:weixin_38688969
  1. 基于3 GS/s 12 bit ADCs的 高速串行接口控制层电路的设计与实现

  2. 高性能数据转换器是第五代移动通信基站系统的核心器件,其采样速率不低于3 GS/s、分辨率高于12 bit,因此高速串行接口取代传统接口电路成为必然趋势。基于JESD204B协议设计了一种应用于3 GS/s 12 bit ADCs的高速串行接口控制层电路。在保证高速传输的前提下,折中考虑功耗和资源,该电路在传输层采用预分频技术完成组帧;在数据链路层采用极性信息简化编码技术实现8 B/10 B编码。在Vivado 16.1环境下,采用Xilinx公司的ZC706 FPGA中PHY IP和JESD20
  3. 所属分类:其它

    • 发布日期:2020-10-16
    • 文件大小:644096
    • 提供者:weixin_38658086
  1. 基于Spartan-6的16路高速串行传输的设计与实现

  2. 高速串行传输的设计是FPGA设计的一个重要方面。在串行传输的设计中摒弃了采用FPGA内部逻辑资源实现从而限制了串并转换速度的传统设计方法,SelectIOTM接口技术给FPGA实现高速串行传输提供了良好的舞台,本文详细阐述了1:8 DDR模式下16路高速串行传输的实现,并通过了16路高速串行传输达到12.8Gbit/s传输速率的板级试验。
  3. 所属分类:其它

    • 发布日期:2020-10-23
    • 文件大小:368640
    • 提供者:weixin_38649838
  1. 集成电路中的应用于高速串行收发器的CDR电路的设计

  2. 摘要:时钟数据恢复(CDR)电路是高速数据传输系统的重要组成部分。文章介绍了一种半数字二阶时钟数据恢复电路的基本结构、工作原理和设计方法,并进行了仿真和验证,结果表明,电路能够满足系统设计要求。   1 引言   随着数字系统及网络对计算量和通信速度的要求越来越高,传统的并行数据传输方式在速度上已经不能满足我们的需求,高速串行互连技术得到了越来越多的应用。   高速串行数据收发器的发送器负责将低速的并行数据转换为高速串行数据发到信道上,发送器利用本地锁相环电路(PLL)提供必要的时间参考。
  3. 所属分类:其它

    • 发布日期:2020-10-23
    • 文件大小:275456
    • 提供者:weixin_38530536
  1. 基于FPGA的高速串行传输系统的设计与实现

  2. 作为高传输速率和低设计成本的传输技术,串行传输技术被广泛应用于高速通信领域,并已成为业界首选。在此基于对高速串行传输系统的分析,对实例进行了总体设计验证,最终达到高速传输的目的。
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:337920
    • 提供者:weixin_38689223
  1. 基于Virtex-5 FPGA的高速串行传输系统的设计与实现[图]

  2. 该设计系统以Virtex-5为核心构建的平台,对AURORA协议下串行传输系统进行了设计与实现。通过对核心问题的解决,将计算机与外部扩展很好的结合,达到信号传输的高速、稳定的目的。实验证明,板卡设计的整体思路和核心方法的解决是完备的,并使得板卡的传输速率和稳定性的到了较大的提高。
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:302080
    • 提供者:weixin_38695773
  1. 基于Virtex-5 FPGA的高速串行传输系统的设计与实现

  2. 目前,高速串行接口取代并行拓扑结构已经是大势所趋。当今很多公用互连标准(如USB,PCI-Express)都是基于串行连接来实现高速传输的。相比于并行总线,串行连接的物理紧密度和链路韧性具有很多优势。因此,很多传输领域都转向了串行传输,如笔记本电脑显示互连、高速背板互连和存储器内部互连。该系统涉及到的技术主要包括:光纤传输、PCIE(PCI-Express)传输和DDR缓存技术,以及这几种技术在FPGA中融合为一个完整的串行传输链路,并实现了在两台服务器之间的高速数据传输测试,这对于实际工程应用
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:305152
    • 提供者:weixin_38713039
  1. 基于V5的3.125G串行传输系统的设计与验证[图]

  2. 随着电子系统的不断发展,芯片间以及板间的数据传输需求也在不断增长,传统的单端并行数据传输模式早已不能满足现在高带宽应用的要求。USB 3.0、SATA 3.0、PCI-E 2.0等新串行规范的发布以及更高速的串并/并串转换单元(SERDES)芯片的推出更是引起了业界对高速差分串行数据传输的无限憧憬。为了解决下一代无线通信基站中多天线(MIMO)信号处理所带来的巨大数据吞吐量要求,本文基于Virtex-5 FPGA的GTP单元给出了一种在高级电信计算架构(ATCA)机箱内实现单对差分线进行3.12
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:356352
    • 提供者:weixin_38708461
  1. 基于Virtex-5的串行传输系统的实现

  2. 随着USB3.0、SATA3.0、PCI-E2.0等新串行规范的发布以及更高速的串并/并串转换单元(SERDES)芯片的推出引起了业界对高速差分串行数据传输的无限憧憬。为了解决下一代无线通信基站中多天线(MIMO)信号处理所带来的巨大数据吞吐量要求,本文基于Virtex-5FPGA的GTP单元给出了一种在高级电信计算架构(ATCA)机箱内实现单对差分线进行3.125Gbps串行传输的设计方案。
  3. 所属分类:其它

    • 发布日期:2020-10-19
    • 文件大小:1048576
    • 提供者:weixin_38526823
  1. 基于TLK2711的高速数据串行传输

  2. 提出了一种基于多路TLK2711的高速数据串行传输方案,详细介绍了其工作原理和设计思想,结合FPGA和DDR3实现了单路有效数据率最高可达1.55 Gb/s。在此基础上设计并实现了6通道TLK2711的数据串行传输系统,有效数据率高达9.67 Gb/s。实验证明,该系统工作稳定可靠,实时传输效果好,无误码,满足了高速多通道TLK2711数据的传输速率要求。
  3. 所属分类:其它

    • 发布日期:2020-10-17
    • 文件大小:253952
    • 提供者:weixin_38738511
  1. 基于FPGA实现的高速串行交换模块实现方法研究

  2. 采用Xlinx公司的Virtex5系列FPGA设计了一个用于多种高速串行协议的数据交换模块,并解决了该模块实现中的关键问题。该交换模块实现4X模式RapidIO协议与4X模式PCI Express协议之间的数据交换,以及自定义光纤协议与4X模式PCI Express协议之间的数据交换,实现了单字读写以及DMA操作,并提供高速稳定的传输带宽。
  3. 所属分类:其它

    • 发布日期:2020-10-26
    • 文件大小:387072
    • 提供者:weixin_38688380
  1. 基于LVDS的高速串行数据传输系统设计

  2. 在某型雷达信号处理系统中,要求由上位机(普通PC)实时监控雷达系统状态并采集信号处理机的关键变量,这就要求在处理机与上位机之间建立实时可靠的连接。同时,上位机也能对信号处理板进行控制,完成诸如处理机复位、DSP程序动态加载等功能。实验中,处理机和上位机之间的数据传输距离不小于8m。在这种前提下,计算机上现有的串口、并口显然不能满足要求,而USB2.0接口工作在高速模式时传输距离只有3m,其它诸如以太网传输的实时性难于满足要求,光纤通道传输的构建成本又太高。基于此,本文提出了一种采用LVDS高速串
  3. 所属分类:其它

    • 发布日期:2020-10-24
    • 文件大小:116736
    • 提供者:weixin_38614268
  1. 用ST16C2552实现DSP高速串行通讯扩展

  2. 基于DSP和ST16C2552的硬件连接已经调试成功,TMS320LF2407的程序也通过了CCS编译,并在电路板上调试成功。调试结果表明,在波特率115 200 b/s下通讯数据传输准确,误码率极低。该系统现已应用于产品中。只要将该设计的电路连接和程序稍加改动,就可以应用于其他DSP、单片机的高速串行口扩展,非常有用。
  3. 所属分类:其它

    • 发布日期:2020-10-24
    • 文件大小:410624
    • 提供者:weixin_38679045
  1. 嵌入式系统/ARM技术中的高速串行总线技术发展与应用分析

  2. 虽然在嵌入式系统中有许多连接元件的方法,但最主要的还是以太网、PCI Express和RapidIO这三种高速串行标准。所有这三种标准都使用相似的串行解串器(SerDes)技术,它们提供的吞吐量和时延性能都要超过宽的并行总线技术。随着这些标准的不断发展,今后的趋势将是采用通用SerDes技术。这意味着这些协议提供的原始带宽不会有明显的差异。相反,每种协议的用途将取决于如何使用带宽。   大多数设计人员都很熟悉基本的以太网协议特征。以太网是一种'尽力而为'的数据包传送方式。在以太网物理层上建立的
  3. 所属分类:其它

    • 发布日期:2020-11-08
    • 文件大小:199680
    • 提供者:weixin_38674124
  1. 嵌入式系统/ARM技术中的采用LVDS高速串行总线技术的传输方案

  2. 引言   在某型雷达信号处理系统中,要求由上位机(普通PC)实时监控雷达系统状态并采集信号处理机的关键变量,这就要求在处理机与上位机之间建立实时可靠的连接。同时,上位机也能对信号处理板进行控制,完成诸如处理机复位、DSP程序动态加载等功能。实验中,处理机和上位机之间的数据传输距离不小于8m。在这种前提下,计算机上现有的串口、并口显然不能满足要求,而USB2.0接口工作在高速模式时传输距离只有3m,其它诸如以太网传输的实时性难于满足要求,光纤通道传输的构建成本又太高。基于此,本文提出了一种采用L
  3. 所属分类:其它

    • 发布日期:2020-11-06
    • 文件大小:133120
    • 提供者:weixin_38711333
« 12 3 4 5 6 7 8 9 10 ... 34 »