您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 同步100进制计数器

  2. 使用两片161做成的同步100进制计数器,用multisim仿真过
  3. 所属分类:嵌入式

    • 发布日期:2009-04-30
    • 文件大小:102400
    • 提供者:keaidu
  1. 同步100进制计数器

  2. 里面有miltisim仿真图和word截屏
  3. 所属分类:嵌入式

    • 发布日期:2009-05-19
    • 文件大小:125952
    • 提供者:keaidu
  1. 计数器实验 192 390 161

  2. 计数器实验,包含192 390 161 加法,减法计数器实验,以及49,60,100进制计数器
  3. 所属分类:C/C++

    • 发布日期:2009-12-15
    • 文件大小:638976
    • 提供者:huoyuanwei
  1. 用VHDL设计100进制加减计数器

  2. 这是用VHDL设计的100进制的加减计数器
  3. 所属分类:C/C++

    • 发布日期:2010-07-01
    • 文件大小:309248
    • 提供者:wangliwang
  1. Verilog-HDL实践与应用系统设计

  2. Verilog-HDL实践与应用系统设计本书从实用的角度介绍了硬件描述语言Verilog-HDL。通过动手实践,体验Verilog-HDL的语法结构、功能等内涵。在前五章,以简单的实例列举了Verilog-HDL的用法;在后四章,以应用系统为例详细讲解了系统设计的全过程。书中的全部例子都给出了仿真结果,其源代码都在本书所附的CD-ROM中,并均经过验证无误。 本书的前半部分特别适合于初学者,也可作为工程技术人员的参考内容。后半部分很适合工程开发和研究人员参考。本书除了介绍Verilog-HDL
  3. 所属分类:嵌入式

    • 发布日期:2011-02-22
    • 文件大小:14680064
    • 提供者:zhlyz2003
  1. 可控计数器(eda设计)

  2. 1,设计一个五进制的计数器,由三个控制键SEL控制不同的计数方式。 2,当 sel=000时,按0,1,2,3,4,0,1,2,3,4.。。 3,当sel=001时,按0,2,4,6,8,0,2,4,6,8 。。 4,当sel=010时,按1,3,5,7,9,1,3,5,7,9。。。 5,当sel=011时,按5,4,3,2,1,5,4,3,2,1。。。 6,当sel=100时,按 0,1,3,6,9,0,1,3,6,9。。。 7,当sel=101时,按5,6,7,8,9,5,6,7,8,9。
  3. 所属分类:软件测试

    • 发布日期:2011-06-13
    • 文件大小:3072
    • 提供者:zxweerr
  1. eda-10进制计数器

  2. vhdl语言描述10进制计数器,两层叠加可以完成100以内数据计数
  3. 所属分类:硬件开发

    • 发布日期:2012-11-21
    • 文件大小:641
    • 提供者:csliuzn
  1. 100进制计数器

  2. 基于quartus ii的100进制计数器vhdl语言
  3. 所属分类:专业指导

    • 发布日期:2012-11-24
    • 文件大小:1048576
    • 提供者:kongshuangbaby
  1. 微波炉控制器的FPGA实现

  2. 状态控制电路的VHDL实现如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; ENTITY controllor IS PORT( RESET:IN STD_LOGIC; --复位信号 KEY: IN STD_LOGIC_VECTOR(3 DOWNTO 0); --输入时间 SET_T:IN STD_LOGIC; --时间设置信
  3. 所属分类:嵌入式

    • 发布日期:2012-12-27
    • 文件大小:897024
    • 提供者:timberkg
  1. 数字电子技术是课程设计 数字秒表设计

  2. 一、 设计目的 秒表应用于我们生活,工作,运动等需要精确计时的方面。它由刚开始的机械式秒表发展到今天所常用的数字式秒表。秒表的计时精度越来越高,功能越来越多,构造也日益复杂。 二、 设计要求 1. 设计并制作符合要求的电子秒表。 2. 秒表由6位7段LED显示器显示,其中2位显示“min”,4位显示“s”,其中显示分辨率位“0.01S”。 3. 计数最大值到99min59.99s,计数误差不超过0.01s, 4. 具有清零、启动计数、暂停计时及继续计时等控制功能。 三、 设计原理及其框图 该数
  3. 所属分类:电信

    • 发布日期:2013-01-04
    • 文件大小:1048576
    • 提供者:xiaoding945
  1. multisim12清华大学本科教育所用的例子

  2. 本人亲测,都可以用。自己也是学电子的,所以好的资料就分享出来,希望对你有用。 主要包括: 模拟部分: MD1 1-1 二极管加正向电压 1-2 二极管加反向电压 1-3 IV法测二极管伏安特性 1-4 用万用表检测二极管 1-5 例1.2.1电路 1-6 直流和交流电源同时作用于二极管 1-7 半波整流电路 1-8 全波整流电路 1-9 单向限幅电路 1-10 双向限幅电路 1-11 底部钳位电路 1-12 顶部钳位电路 1-13 振幅解调电路 1-14 振幅调制电路 1-15 稳压二极管稳压
  3. 所属分类:硬件开发

    • 发布日期:2013-03-29
    • 文件大小:39845888
    • 提供者:xmlizzy
  1. 数字秒表的设计

  2. 十进制计数器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity count10 is port(clr,start,clk: in bit; cout: out bit; library ieee; daout: out std_logic_vector(3 downto 0)); end count10; architecture a of count10 is signal
  3. 所属分类:软件测试

    • 发布日期:2013-04-02
    • 文件大小:36864
    • 提供者:u010135971
  1. 计数器实验

  2. 1.测试74LS90逻辑功能,记录其逻辑功能。 2.用模拟示波器显示74LS90模10计数器的QB和CLK。 3.用74LS90设计一个电路实现100进制计数器和24进制计数器。
  3. 所属分类:专业指导

    • 发布日期:2013-06-21
    • 文件大小:114688
    • 提供者:rebehcca
  1. 100进制计数器

  2. 在数电实验的设计中,100进制计数器的设计。给出了具体的题目以及相应的电路图,以及所需要的器材。
  3. 所属分类:讲义

    • 发布日期:2014-08-11
    • 文件大小:23552
    • 提供者:victor_peggy
  1. vhdl教程 挺好的资源

  2. vhdl学习资料,大家喜欢就看看吧VHDL培训教程 第一讲、VHDL简介及其结构 第二讲、VHDL中的对象、操作符、数据类型 第三讲、VHDL中的控制语句及模块 第四讲、状态机的设计VHDL培训教程 欢迎参加VHDL培训 浙江大学电子信息技术研究所 电子设计自动化(EDA)培训中心 编写:王勇 TEL:7951949或7951712 EMAIL:wangy@isee.zju.edu.cn第一讲、VHDL简介及其结构 • 通过本课的学习您可以了解以下几点 1、VHDL 的基本概念 2、VHDL的
  3. 所属分类:C

    • 发布日期:2008-10-27
    • 文件大小:490496
    • 提供者:ylw51100
  1. 100进制计数器实践报告

  2. 1.实现简单的计数与显示,按下清零键,对数码管清零,按下启动键开始计数,计时开始,按下停止键,计时结束 2.计时范围从00到99 3.操作键不要太多。
  3. 所属分类:专业指导

    • 发布日期:2015-07-22
    • 文件大小:98304
    • 提供者:ganyuanchao
  1. ewb multisim 仿真实例电路图全集

  2. 多年收集的ewb和multisim电子电路仿真实例文件,压缩后有50多兆。 文件列表 ├─仿真实验 │ 555.ms10 │ Circuit1.ms10 │ Circuit2.ms10 │ CLOCK.ms10 │ FileList.txt │ 实验2.ms10 │ 实验3-一阶有源低通滤电路.ms10 │ 实验3-减法运算电路.ms10 │ 实验3-反相加法运算电路.ms10 │ 实验3-反相比例运算电路.ms10 │ 实验3-反相积分运算电路.ms10 │ 实验3-微分运算电路.ms10
  3. 所属分类:专业指导

    • 发布日期:2015-10-21
    • 文件大小:55574528
    • 提供者:freedom366
  1. 100进制可逆计数器

  2. 有VHDL实现100进制可加可减的计数器
  3. 所属分类:C/C++

    • 发布日期:2009-01-02
    • 文件大小:172032
    • 提供者:meng128998
  1. 计数器课程设计报告

  2. 利用CD4062和红外对管的计数器,需要的下载。电路原理:由红外发射管产生红外线,红外接收管接收红外线。当光线被挡住会产生一个触发脉冲,送入计数器的CP端,使计数器计数加“1”。CD4026的使能端INH接地,芯片持续进行计数。由2个十进制CD4026芯片异步计数构成100进制。在本设计中,MR复位清零功能没有应用,所以也接“0”低电平。DE1.DE2接高电平,允许数码管显示输出。CD4026接收脉冲信号后,经内部译码,输出高电平借以点亮数码管。计数达到10,U2从CO端向高位输出进位信号供U
  3. 所属分类:硬件开发

    • 发布日期:2019-03-30
    • 文件大小:438272
    • 提供者:weixin_41667234
  1. 数电_100进制计数器.ms14

  2. 基于74ls161的100进制计数器及基于NE555的多谐振荡电路(脉冲信号发生器),MultiSim 14仿真,99->0时会有暂态9A出现,但不影响结果
  3. 所属分类:教育

    • 发布日期:2020-06-17
    • 文件大小:167936
    • 提供者:qq_44145489
« 12 3 »