您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. verilog ieee 1364-2005 IEEE标准.

  2. verilog ieee 1364-2005 标准.pdf
  3. 所属分类:专业指导

    • 发布日期:2009-06-18
    • 文件大小:5242880
    • 提供者:wenjian07
  1. IEEE Std 1364™-2005

  2. Verilog2005的IEEE标准,学习可编程逻辑器件的也许需要
  3. 所属分类:专业指导

    • 发布日期:2009-10-15
    • 文件大小:5242880
    • 提供者:jmxu_nudt
  1. IEEE Standard 1364-2001 Verilog Hardware Description Language

  2. IEEE Standard 1364-2001 Verilog Hardware Descr iption Language. The LRM (Language Reference Manual). The complete document for the Verilog language used in hardware design.
  3. 所属分类:其它

    • 发布日期:2009-11-26
    • 文件大小:2097152
    • 提供者:anoutsider
  1. IEEE Standard 1364-2005 Hardware Description Language - Verilog LRM

  2. This is the latest version of the IEEE Standard 1364-2005 Hardware Descr iption Language - Verilog LRM.
  3. 所属分类:其它

    • 发布日期:2009-11-26
    • 文件大小:2097152
    • 提供者:anoutsider
  1. IEEE Std 1364-2001

  2. The Verilog ¤ Hardware Descr iption Language (HDL) is defined in this standard. Verilog HDL is a formal notation intended for use in all phases of the creation of electronic systems. Be- cause it is both machine readable and human readable, it suppo
  3. 所属分类:硬件开发

    • 发布日期:2011-10-17
    • 文件大小:2097152
    • 提供者:perterchen
  1. Verilog IEEE Std 1364-2001

  2. Verilog IEEE Std 1364-2001
  3. 所属分类:硬件开发

    • 发布日期:2012-11-21
    • 文件大小:2097152
    • 提供者:wzb56
  1. Verilog HDL IEEE Std 1364-2001

  2. Verilog HDL IEEE Std 1364-2001 Verilog HDL IEEE Std 1364-2001 Verilog HDL IEEE Std 1364-2001 Verilog HDL IEEE Std 1364-2001 Verilog HDL IEEE Std 1364-2001 Verilog HDL IEEE Std 1364-2001
  3. 所属分类:硬件开发

    • 发布日期:2012-11-22
    • 文件大小:2097152
    • 提供者:wzb56
  1. IEEE 1364-1995&2001;&2005; Verilog HDL

  2. Verilog HDL 的三个标准,IEEE 1364-1995, IEEE 1364-2001, IEEE 1364-2005
  3. 所属分类:硬件开发

    • 发布日期:2012-11-22
    • 文件大小:6291456
    • 提供者:itsai
  1. 安装高版本mysql、解决error 2003、error nr.1364

  2. 安装高版本mysql、解决error 2003、error nr.1364 安装高版本mysql、解决error 2003、error nr.1364
  3. 所属分类:MySQL

    • 发布日期:2012-12-10
    • 文件大小:28672
    • 提供者:lsy649241354
  1. IEEE Std 1364-2001

  2. IEEE Standard Verilog Hardware Descr iption Language:IEEE Std 1364-2001 Verilog权威参考书,硬件描述语言必备参考书
  3. 所属分类:硬件开发

    • 发布日期:2015-04-29
    • 文件大小:4194304
    • 提供者:luowei3oo
  1. verilog ieee 1364-2005 IEEE标准

  2. verilog ieee 1364-2005 IEEE标准,英文原版,供大家学习交流
  3. 所属分类:硬件开发

  1. IEEE Std 1364-2001 Standard Verilog hardware description language.pdf

  2. IEEE Std 1364-2001 Standard Verilog hardware descr iption language
  3. 所属分类:嵌入式

    • 发布日期:2009-01-20
    • 文件大小:2097152
    • 提供者:datangel
  1. IEEE Standard 1364-2001 Verilog HDL

  2. IEEE Standard 1364-2001 Verilog HDL
  3. 所属分类:嵌入式

    • 发布日期:2009-02-20
    • 文件大小:2097152
    • 提供者:wengwenfa
  1. 适用于Semtech公司的SX1262的demo程序,在这里与大家共享。。希望能够帮助大家尽快熟悉此芯片。

  2. 个人写的sx1262的源码,在这里与大家共享。。希望能够帮助大家尽快熟悉此芯片。
  3. 所属分类:C

    • 发布日期:2019-09-24
    • 文件大小:276527
    • 提供者:weixin_44284357
  1. 1364-2005 - IEEE Verilog HDL 语言标准

  2. 1364-2005 - IEEE Standard for Verilog Hardware Descr iption Language (Superseded) IEEE标准1364-2005,是2005年发布的Verilog HDL语言标准。目前该标准的状态是Superseded。
  3. 所属分类:电信

    • 发布日期:2020-05-04
    • 文件大小:6291456
    • 提供者:weixin_43870101
  1. 1364-2001 - IEEE Verilog HDL 语言标准

  2. 1364-2001 - IEEE Standard Verilog Hardware Descr iption Language (Superseded) IEEE标准1364-2001,是2001年发布的Verilog HDL语言标准。目前该标准的状态是Superseded,已被IEEE 1364-2005取代。
  3. 所属分类:电信

    • 发布日期:2020-05-04
    • 文件大小:3145728
    • 提供者:weixin_43870101
  1. 1364-1995 - IEEE Verilog HDL 语言标准

  2. 1364-1995 - IEEE Standard Hardware Descr iption Language Based on the Verilog(R) Hardware Descr iption Language (Superseded) IEEE标准1364-1995,是1995年发布的Verilog HDL语言标准。目前该标准的状态是Superseded,已被IEEE 1364-2001取代。
  3. 所属分类:电信

    • 发布日期:2020-05-04
    • 文件大小:3145728
    • 提供者:weixin_43870101
  1. 1364.1-2002 - IEEE Verilog 寄存器传输级综合标准

  2. 1364.1-2002 - IEEE Standard for Verilog Register Transfer Level Synthesis (Inactive - Withdrawn) IEEE标准1364.1-2002,适用于Verilog的寄存器传输级(Register Transfer Level)综合标准。目前该标准的状态是Inactive、Withdraw。
  3. 所属分类:电信

    • 发布日期:2020-05-04
    • 文件大小:577536
    • 提供者:weixin_43870101
  1. Verilog-IEEE-Std(1364-2005).rar

  2. 1933243_Verilog-IEEE-Std(1364-2005)PDF,电子版的标准的数据格式,对于IC设计行业方便查阅观看哈
  3. 所属分类:其它

    • 发布日期:2020-07-30
    • 文件大小:3145728
    • 提供者:zq950207
  1. 1364-2005_verilog.pdf

  2. verilog官方标准(1364-2005)
  3. 所属分类:电信

    • 发布日期:2021-03-04
    • 文件大小:6291456
    • 提供者:weixin_44456645
« 12 3 4 5 6 7 »