您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. VHDL 源程序集详细讲解 100例

  2. VHDL 源程序集 100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第17例 奇偶校验器 第18例 映射单元库及其使用举 第19例 循环边界常数化测试 第20例 保护保留字 第21
  3. 所属分类:其它

    • 发布日期:2009-05-03
    • 文件大小:233032
    • 提供者:tanhaijun2007
  1. 通信系统仿真原理与无线应用

  2. 译者序 前言 第一部分概论 第1章仿真的作用 1.1复杂性示例 1.1.1易于解析处理的系统 1.1.2需繁琐解析处理的系统 1.1.3难以解析处理的系统 1.2仿真的多学科特点 1.3模型 1.4确定性与随机性仿真 1.4.1一个确定性仿真的实例 1.4.2一个随机性仿真的实例 1.5仿真的作用 1.5.1链路预算与系统级标校过程 1.5.2关键元件的实现与测试 1.5.3完成硬件原型与验证仿真模型 1.5.4生命终结预测 1.6仿真软件包 1.7告诫 1.8MATLAB的使用 1.9本书提
  3. 所属分类:嵌入式

    • 发布日期:2009-05-09
    • 文件大小:8388608
    • 提供者:iternetional
  1. VHDL语言100例

  2. VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第17例 奇偶校验器 第18例 映射单元库及其使用举 第19例 循环边界常数化测试 第20例 保护保留字 第21例 进程
  3. 所属分类:其它

    • 发布日期:2009-07-17
    • 文件大小:234496
    • 提供者:ft2569201
  1. VHDL语言100例

  2. VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第17例 奇偶校验器 第18例 映射单元库及其使用举 第19例 循环边界常数化测试 第20例 保护保留字 第21例 进程
  3. 所属分类:其它

    • 发布日期:2009-08-20
    • 文件大小:332800
    • 提供者:sfhgky
  1. VHDL语言100例

  2. 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第17例 奇偶校验器 第18例 映射单元库及其使用举 第19例 循环边界常数化测试 第20例 保护保留字 第21例 进程死锁 第22例 振荡与
  3. 所属分类:其它

    • 发布日期:2009-08-31
    • 文件大小:320512
    • 提供者:a339238363
  1. Verilog实例(经典135例)

  2. 很实用的Verilog实例! 目录:王金明:《Verilog HDL程序设计教程》程序例子,带说明。 【例 3.1】4 位全加器 【例 3.2】4 位计数器 【例 3.3】4 位全加器的仿真程序 【例 3.4】4 位计数器的仿真程序 【例 3.5】“与-或-非”门电路 【例 5.1】用 case语句描述的 4 选 1 数据选择器 【例 5.2】同步置数、同步清零的计数器 【例 5.4】用 initial过程语句对测试变量 A、B、C 赋值 【例 5.5】用 begin-end 串行块产生信号波
  3. 所属分类:嵌入式

    • 发布日期:2009-09-08
    • 文件大小:130048
    • 提供者:kevinsjtu
  1. Verilog_HDL教程

  2. 第1章 EDA技术综述 1 本章内容简介 1 1.1 引言 1 1.2 EDA技术及其发展 2 1.3 设计方法与设计技术 3 1.3.1 Top-down设计 3 1.3.2 Bottom-up设计 5 1.3.3 IP复用技术与SOC 5 1.4 EDA设计的实现 6 1.5 硬件描述语言 7 思考与练习 9 第2章 EDA设计软件与设计流程 10 本章内容简介 10 2.1 EDA软件工具概述 10 2.1.1 集成的CPLD/FPGA开发工具 10 2.1.2 输入工具(Design
  3. 所属分类:嵌入式

    • 发布日期:2009-12-21
    • 文件大小:4194304
    • 提供者:yanlihui13579
  1. VHDL语言100例

  2. VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第17例 奇偶校验器 第18例 映射单元库及其使用举 第19例 循环边界常数化测试 第20例 保护保留字 第21例 进程
  3. 所属分类:其它

    • 发布日期:2010-04-15
    • 文件大小:340992
    • 提供者:monml
  1. IIR数字低通滤波器设计

  2. 摘 要 2 目 录 2 第1章 滤波器简介 3 1.1 滤波器的工作原理 4 1.1.1 模拟滤波器的工作原理 4 1.1.2 数字滤波器的工作原理 6 1.2 滤波器的基本特性 7 1.2.1 模拟滤波器与数字滤波器的基本特性 7 1.2.2 无限冲击响应IIR和有限冲击响应FIR滤波器 9 1.3 滤波器的主要技术指标 10 第2章 模拟滤波器的设计 11 2.1 模拟滤波器的设计方法 12 2.2 模拟原型滤波器及最小阶数的选择 14 2.2.1 巴特沃斯滤波器及最小阶数的选择 14 2
  3. 所属分类:嵌入式

    • 发布日期:2010-07-10
    • 文件大小:3145728
    • 提供者:hgy157816079
  1. verilog HDL经典程序实例135例

  2. Verilog HDL程序设计教程》程序例子,带说明。【例 3.1】4 位全加器 【例 3.2】4 位计数器【例 3.3】4 位全加器的仿真程序 【例 3.4】4 位计数器的仿真程序【例 3.5】“与-或-非”门电路【例 5.1】用 case语句描述的 4 选 1 数据选择器【例 5.2】同步置数、同步清零的计数器【例 5.4】用 initial过程语句对测试变量 A、B、C 赋值【例 5.5】用 begin-end 串行块产生信号波形【例 5.6】用 fork-join 并行块产生信号波形【
  3. 所属分类:嵌入式

    • 发布日期:2010-07-23
    • 文件大小:158720
    • 提供者:do622
  1. 王金明:《Verilog HDL程序设计教程》135例

  2. 【例 3.1】4 位全加器 【例 3.2】4 位计数器 【例 3.3】4 位全加器的仿真程序 【例 3.4】4 位计数器的仿真程序 【例 3.5】“与-或-非”门电路 【例 5.1】用 case语句描述的 4 选 1 数据选择器 【例 5.2】同步置数、同步清零的计数器 【例 5.4】用 initial过程语句对测试变量 A、B、C 赋值 【例 5.5】用 begin-end 串行块产生信号波形 【例 5.6】用 fork-join 并行块产生信号波形 【例 5.7】持续赋值方式定义的 2 选
  3. 所属分类:嵌入式

    • 发布日期:2011-02-24
    • 文件大小:130048
    • 提供者:zhlyz2003
  1. vhdl语言设计100例

  2. VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第17例 奇偶校验器 第18例 映射单元库及其使用举 第19例 循环边界常数化测试 第20例 保护保留字 第21例 进程
  3. 所属分类:其它

    • 发布日期:2011-05-24
    • 文件大小:234496
    • 提供者:fqingchan
  1. Verilog_HDL经典教程实用手册

  2. 第1章 EDA技术综述 1 本章内容简介 1 1.1 引言 1 1.2 EDA技术及其发展 2 1.3 设计方法与设计技术 3 1.3.1 Top-down设计 3 1.3.2 Bottom-up设计 5 1.3.3 IP复用技术与SOC 5 1.4 EDA设计的实现 6 1.5 硬件描述语言 7 思考与练习 9 第2章 EDA设计软件与设计流程 10 本章内容简介 10 2.1 EDA软件工具概述 10 2.1.1 集成的CPLD/FPGA开发工具 10 2.1.2 输入工具(Design
  3. 所属分类:嵌入式

    • 发布日期:2011-06-02
    • 文件大小:4194304
    • 提供者:heirfr
  1. verilog HDL设计实例

  2. 【例 3.1】4 位全加器 【例 3.2】4 位计数器 【例 3.3】4 位全加器的仿真程序 【例 3.4】4 位计数器的仿真程序 【例 3.5】“与-或-非”门电路 【例 5.1】用 case语句描述的 4 选 1 数据选择器 【例 5.2】同步置数、同步清零的计数器 【例 5.4】用 initial过程语句对测试变量 A、B、C 赋值 【例 5.5】用 begin-end 串行块产生信号波形 【例 5.6】用 fork-join 并行块产生信号波形 【例 5.7】持续赋值方式定义的 2 选
  3. 所属分类:专业指导

    • 发布日期:2011-06-14
    • 文件大小:158720
    • 提供者:wwe12580
  1. VHDL设计14阶FIR滤波器

  2. 设计一个14阶FIR滤波器,已给出滤波器系数以及验证程序,选用Altera的EP2S60F484C3器件:
  3. 所属分类:硬件开发

    • 发布日期:2011-06-21
    • 文件大小:20480
    • 提供者:xutao_up
  1. VHDL语言100例详解 程序代码

  2. 包括如下100例有关的VHDL描述文件,但解压后只有94例,其他部分错误 第1例?带控制端口的加法器 袁 媛(1) 第2例?无控制端口的加法器 袁 媛(4) 第3例?乘法器 袁 媛(6) 第4例?比较器 袁 媛(8) 第5例?二路选择器 袁 媛(11) 第6例?寄存器 袁 媛(13) 第7例?移位寄存器 袁 媛(16) 第8例?综合单元库 袁 媛(22) 第9例?七值逻辑与基本数据类型 袁 媛(29) 第10例?函数 袁 媛(32) 第11例?七值逻辑线或分辨函数 袁 媛(35) 第12例?转
  3. 所属分类:硬件开发

    • 发布日期:2011-11-10
    • 文件大小:319488
    • 提供者:shamo88
  1. Verilog_HDL教程.pdf

  2. 第1章 EDA技术综述 1 本章内容简介 1 1.1 引言 1 1.2 EDA技术及其发展 2 1.3 设计方法与设计技术 3 1.3.1 Top-down设计 3 1.3.2 Bottom-up设计 5 1.3.3 IP复用技术与SOC 5 1.4 EDA设计的实现 6 1.5 硬件描述语言 7 思考与练习 9 第2章 EDA设计软件与设计流程 10 本章内容简介 10 2.1 EDA软件工具概述 10 2.1.1 集成的CPLD/FPGA开发工具 10 2.1.2 输入工具(Design
  3. 所属分类:嵌入式

    • 发布日期:2012-03-12
    • 文件大小:4194304
    • 提供者:lzj1987
  1. EDA/SOPC 技术实验讲义

  2. 第一章 EDA_VHDL 实验/设计与电子设计竞赛 4 1-1、 应用QuartusII 完成基本组合电路设计 5 1-2. 应用QuartusII 完成基本时序电路的设计 6 1-3. 设计含异步清0 和同步时钟使能的加法计数器 7 1-4. 7 段数码显示译码器设计 8 1-5. 8 位数码扫描显示电路设计 9 1-6. 数控分频器的设计 10 1-7. 32 位并进/并出移位寄存器设计 10 1-8. 在QuartusII 中用原理图输入法设计8 位全加器 11 1-9. 在Quartu
  3. 所属分类:硬件开发

    • 发布日期:2012-04-18
    • 文件大小:3145728
    • 提供者:xiaosong89
  1. 数字滤波器原理及应用

  2. 第l章 数字信号处理引言 1.1 引言 1.2 数字信号处理起源 1.3 信号域 1.4 信号分类 1.5 DSP:一个学科 第2章 采样原理 2.1 引言 2.2 香农采样原理 2.3 信号重构 2.4 香农插值 2.5 采样方法 2.6 多通道采样 2.7 MATLAB音频选项 第3章 混叠 3.1 引言 3.2 混叠 3.3 圆判据 3.4 IF采样 第4章 数据转换和量化 4.1 域的转换 4.2 ADC分类 4.3 ADC增强技术 4.4 DSP数据表示方法 4.5 量化误差 4.6
  3. 所属分类:电信

    • 发布日期:2018-08-29
    • 文件大小:117440512
    • 提供者:bird935336763
  1. 单片机与DSP中的基于DSP Builder的14阶FIR滤波器的设计

  2. 数字滤波器在数字信号处理的各种应用中发挥着十分重要的作用,他是通过对采样数据信号进行数学运算处理来达到频域滤波的目的。数字滤波器既可以是有限长单脉冲响应(FIR)滤波器也可以是无限长单脉冲响应(IIR)滤波器。在维纳滤波器理论发明的早期,人们使用IIR滤波器,但现在更多是使用FIR滤波器。本文按照Matlab/Simulink/DSP Builder/QuartusⅡ流程,设计一个FIR滤波器。Altera DSP Builder是连接Simulink和QuartusⅡ开发软件的DSP开发工具。
  3. 所属分类:其它

    • 发布日期:2020-11-25
    • 文件大小:125952
    • 提供者:weixin_38729336
« 12 »