您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. VC++6.0编译器(命令行)

  2. 注意:这是命令行的编译器,没有任何图形界面!没有命令行编译经验如cl, nmake,gcc等的最好不要下。高手一定要下!初学者推荐下!体积19.2MB 从Microsoft Visual Studio 6.0中Ripper出来的VC++编译器,花了很多精力测试它,成功!(配合ActivePerl编译openssl-0.9.8k.tar.gz完全OK) 还添加了从MASM32 V10中Ripper出来的汇编编译器ML 6.14.8444经典版。 苦于网上VC++6.0的各种版本非常之多,却没有一
  3. 所属分类:C++

    • 发布日期:2009-07-07
    • 文件大小:19922944
    • 提供者:lauey
  1. 自定义IHttpModule实现URL重写示例代码

  2. 这是本人博客上《用自定义IHttpModule实现URL重写》一文的示例代码,如果有未尽之处或者不明白之处请到本人博客上查看,网址是:http://blog.csdn.net/zhoufoxcn/archive/2009/07/14/4346356.aspx
  3. 所属分类:Web开发

    • 发布日期:2009-07-14
    • 文件大小:28672
    • 提供者:zhoufoxcn
  1. Bookmarks 2009-07-14

  2. Bookmarks 2009-07-14Bookmarks 2009-07-14Bookmarks 2009-07-14
  3. 所属分类:软考等考

    • 发布日期:2009-07-14
    • 文件大小:507904
    • 提供者:pzhxd
  1. 自己使用Swing实现的POJOGenerator(POJO代码生成器 v1.2)

  2. POJOGenerator(POJO代码生成器 v1.2) 本POJO代码生成器采用Java的Swing技术编码实现,是绿色免费工具,可以自由传播。 由于本工具的内部实现较菜,所以还请反编译高手手下留情,让我留几分颜面。^_^ 作者本人只用过Oracle、MySQL、MS SQL Server这三款数据库产品,所以制作成exe 可执行文件时只添入了这三款数据库的驱动支持。如果您需要使用这款工具从其它 数据库中生成POJO,那么您可以联系我(Email:CodingMouse@gmail.com
  3. 所属分类:Java

    • 发布日期:2009-07-15
    • 文件大小:4194304
    • 提供者:CodingMouse
  1. oracle ocp 043认证考试(最新09-07-13)

  2. 2009年刚过的oralce ocp考试,043考试有26道新题,我错了14题,96%通过。
  3. 所属分类:Oracle

    • 发布日期:2009-07-15
    • 文件大小:861184
    • 提供者:csdnstar
  1. SIEMENS最新授权大全_2009

  2. 西门子最新授权大全 2009 ================== 04/04/2009 add keys for WinAC MP 2008, PCS7 v7.1 22/12/2008 add keys for WinAC 2008, Simatic Net 2008, RF-Manager 2008 20/08/2008 add keys for WinCC Flexible 2008 21/07/2008 add keys for WinCC v7.0 29/06/2008 add ke
  3. 所属分类:Web开发

    • 发布日期:2009-08-24
    • 文件大小:6291456
    • 提供者:Herowuking
  1. selectersky gb2312和utf-8文件编码互换工具 v1.05

  2. 本程序实现utf-8和gb2312编码互换 特色: 1、可以自定义要转换的文件扩展名 2、可以自定义要转换的文件的路径(相对,绝对) 3、自动识别编码格式 4、转换时自动将结果输出到指定的文件夹。不会覆盖原因的文件。保证转换后不会出现不必要的麻烦 5、转换时替换字符串不区分大小写,有效的提高了准确率 6、支持子目录下的文件转换 8、程序很小,两个asp文件 更多功能需要你去体会。。 有问题或者建议,请在我的博客留言。博客地址:www.selectersky.cn 注意: 转换编码格式成功后,如
  3. 所属分类:Web开发

    • 发布日期:2009-09-02
    • 文件大小:6144
    • 提供者:selectersky
  1. 浅析如何为wine增加一个磁盘分区D同时通过软链接到windows中的d分区

  2. 首先我们看看wine默认的磁盘c和z luther@gliethttp:~/.wine$ ll dosdevices/ total 0 lrwxrwxrwx 1 luther luther 1 2009-05-14 08:18 z: -> / lrwxrwxrwx 1 luther luther 10 2009-05-14 08:18 c: -> ../drive_c lrwxrwxrwx 1 luther luther 9 2009-06-16 10:38 a:: -> /
  3. 所属分类:C

    • 发布日期:2009-09-09
    • 文件大小:2048
    • 提供者:gliethttp
  1. 我的C++Builder学习笔记

  2. 2008-12-04 22:13 3,118 Builder中使用Access数据库.txt 2009-01-09 23:36 131,577 builder组件继承关系.pdf 2009-07-31 02:52 5,311 Builder聊天.txt 2009-07-31 02:49 1,863 BUilder高效率代码.txt 2009-07-31 02:36 11,941 C++ Build er VCL库函数简介.txt 2008-12-28 21:37 879 DistanceInE
  3. 所属分类:C++

    • 发布日期:2009-09-11
    • 文件大小:564224
    • 提供者:haiandj
  1. StrongOD v0.2.6

  2. OllyDBG v1.10 plugin - StrongOD v0.2.6 by 海风月影[CUG] ==================================================================== [2009.09.01 v0.2.6.413] 1,添加加载微软符号库的选项 2,Cmdbar增加命令MSG,显示消息号 [2009.08.26 v0.2.6.410] 1,集成Command Bar功能(快捷键改成ALT+F1),可以抛弃cmdbar插件
  3. 所属分类:网络攻防

    • 发布日期:2009-09-11
    • 文件大小:215040
    • 提供者:wumao123
  1. 完美卸载 2009 Build 27.07

  2. 【基本介绍】 完美卸载2009是系统维护的瑞士军刀,不错的软件卸载工具,他可以提供全方位的服务,共可以清除总计253种软件,包括流氓软件,IE插件,广告软件等,自动上网升级病毒库和新版本。 完美卸载(本软件完全免费,不含广告与插件,不锁定主页) 系统维护的瑞士军刀,物美价廉的超级体验! 推荐:全方位的服务,我们共可以清除总计253种软件,包括流氓软件,IE插件,广告软件等,自动上网升级病毒库和新版本。 【软件功能】 1. 安装监视: 监视软件一举一动,生成准确卸载记录。 2. 智能卸载 : 彻
  3. 所属分类:网络攻防

    • 发布日期:2009-11-14
    • 文件大小:3145728
    • 提供者:wdwdwd3214
  1. 十进制计数器vhdl

  2. 查看文章 VHDL十进制计数器2009-07-14 16:28library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity count10 is port (clk:in std_logic; f:buffer integer range 0 to 15; cout:out std_logic); end; architecture
  3. 所属分类:其它

    • 发布日期:2009-12-27
    • 文件大小:469
    • 提供者:duzibeihang
  1. a GUI for Python

  2. John W. Shipman 2009-07-14 11:41 Abstract Describes the Tkinter widget set for constructing graphical user interfaces (GUIs) in the Python programming language. This publication is available in Web form1 and also as a PDF document2. Please forward a
  3. 所属分类:Python

    • 发布日期:2010-01-12
    • 文件大小:1048576
    • 提供者:highland0971
  1. ExtAspNet v2.2.1 (2009-4-1) 值得一看

  2. ExtAspNet v2.2.1 ExtAspNet是一组专业的Asp.net控件库,拥有原生的AJAX支持和丰富的UI效果, 目标是创建没有Javascr ipt,没有CSS,没有UpdatePanel,没有WebServices的Web应用程序。 支持的浏览器: IE 7.0+, Firefox 3.0+, Chrome 2.0+, Opera 9.5+, Safari 3.0+ 注:ExtAspNet基于一些开源的程序ExtJS, HtmlAgilityPack, Nii.JSON, Y
  3. 所属分类:C#

    • 发布日期:2010-04-01
    • 文件大小:8388608
    • 提供者:super_zhaowenke
  1. OV7670摄像头代码.rar

  2. 2012-03-28 17:44 . 2012-03-28 17:44 .. 2012-03-28 17:44 .dep 2009-07-16 12:58 217 delay.c 2009-07-14 08:08 76 delay.h 2009-07-14 08:07 2,724 delay.lst 2009-07-14 08:07 2,240 delay.o 2009-07-02 19:06 8,992 english_16x8.h 2008-11-28 17:37 87 font.h
  3. 所属分类:硬件开发

    • 发布日期:2012-03-28
    • 文件大小:1048576
    • 提供者:djpdjp
  1. memcached-win64-1.4.4-14

  2. memcached-win64-1.4.4. 安装方式,下载,解压。 1. Memcached版本 -win64-1.4.4-14 2. 以管理员身份进入命令行,运行安装命令:cd 目录\memcached.exe -d install -l 127.0.0.1 -m 1024 -c 2048 参数说明: -d install 安装为Windows服务 -l 绑定的IP -m 使用的最大内存(MB),默认64M -c 最大并发连接数,默认1024 3. 出现错误:This applicatio
  3. 所属分类:其它

    • 发布日期:2014-10-15
    • 文件大小:386048
    • 提供者:u012398331
  1. 【稀有】腾达W311R v2路由器V5.07.14中文固件

  2. 腾达W311R v2路由器V5.07.14中文固件 仅适合W311r v5.07.XX这种硬件的机型使用!博通BCM5356芯片组的W311rV2 ,请看好!实在不放心可以拆机看主芯片编号 注意:如果是原先软件版本号带H的雷凌Ralink 3050F芯片(2009年出的老V1版)w311r 升级此版本会变砖! 仅适用于原版软件为V5.07.xx的 硬件版本为1.0~3.0的W311Rv2机器升级
  3. 所属分类:网络设备

    • 发布日期:2017-01-07
    • 文件大小:871424
    • 提供者:yeliang1007
  1. slickedit 2009 14.07 crack

  2. slickedit 2009 14.07 crack
  3. 所属分类:其它

    • 发布日期:2009-04-09
    • 文件大小:754688
    • 提供者:hhk0001
  1. 645-07-97测试软件.zip

  2. 国标DTL645-2007,通信规约测试软件 ,电表调试软件,常用的表号修改,时间校对,电量,事件抄读,规约标示查询等, --11:51 2008-09-25 软件更新说明 1、2008年9月25日 V1.0.2 增加设备地址读写功能。 2、2008年12月17日 V1.0.3 加大直抄延时到10秒,每级中继延时10秒。 3、2009年1月6日 V1.0.4 增加广播相位检测功能。修复通信速率转换Bug。 4、2009年3月2日 V1.0.7 增加电表自动测试功能。 5、2009
  3. 所属分类:制造

    • 发布日期:2020-01-07
    • 文件大小:1048576
    • 提供者:u014771868
  1. 基于TCP/IP的船舶除湿监控系统设计.pdf

  2. 基于TCP/IP的船舶除湿监控系统设计pdf,基于TCP/IP的船舶除湿监控系统设计( )2010 26 6-1 沿口船化量段系捷 TCPIP 航号了 WinCc C 录 宝 □e目 200 4:t 图3系统主画面 40 0 In 2004.8 TCP/IP [21 PLO S7315-2PN/DP 20068 Profil Ethernet J 2007,9-1:1213 WEB TCP/IP 2007,1-2:73-74 TCP/IP 2006.12-2:38-40. 10 TCP/IP 2
  3. 所属分类:其它

    • 发布日期:2019-10-31
    • 文件大小:218112
    • 提供者:weixin_38743481
« 12 3 4 5 6 7 »