点数信息
www.dssz.net
注册会员
|
设为首页
|
加入收藏夹
您好,欢迎光临本网站!
[请登录]
!
[注册会员]
!
首页
移动开发
云计算
大数据
数据库
游戏开发
人工智能
网络技术
区块链
操作系统
模糊查询
热门搜索:
源码
Android
整站
插件
识别
p2p
游戏
算法
更多...
在线客服QQ:632832888
当前位置:
资源下载
搜索资源 - 24秒计时器
下载资源分类
移动开发
开发技术
课程资源
网络技术
操作系统
安全技术
数据库
行业
服务器应用
存储
信息化
考试认证
云计算
大数据
跨平台
音视频
游戏开发
人工智能
区块链
在结果中搜索
所属系统
Windows
Linux
FreeBSD
Unix
Dos
PalmOS
WinCE
SymbianOS
MacOS
Android
开发平台
Visual C
Visual.Net
Borland C
CBuilder
Dephi
gcc
VBA
LISP
IDL
VHDL
Matlab
MathCAD
Flash
Xcode
Android STU
LabVIEW
开发语言
C/C++
Pascal
ASM
Java
PHP
Basic/ASP
Perl
Python
VBScript
JavaScript
SQL
FoxBase
SHELL
E语言
OC/Swift
文件类型
源码
程序
CHM
PDF
PPT
WORD
Excel
Access
HTML
Text
资源分类
搜索资源列表
篮球比赛计时器设计+原理图
,设计了篮球竞赛24秒和12分钟倒计时器
所属分类:
专业指导
发布日期:2009-07-06
文件大小:205824
提供者:
yingqiyao521
篮球24秒计时器课程设计
本课程设计是脉冲数字电路的简单应用,设计了篮球竞赛24秒计时器。此计时器功能齐全,可以直接清零、启动、暂停和连续以及具有光电报警功能,同时应用了七段数码管来显示时间。此计时器有了启动、暂停和连续功能,可以方便地实现断点计时功能,当计时器递减到零时,会发出光电报警信号,在社会生活中也具有广泛的应用价值。 此计时器的设计主要由以下4个部分组成,即计时、控制、报警以及译码显示。此电路是一时钟产生,倒计时计数,译码显示为主要功能,在此结构的基础上,构造主体电路和辅助电路两个部分。
所属分类:
专业指导
发布日期:2009-07-06
文件大小:406528
提供者:
mjs292079341
24秒倒计时汇编程序
24秒倒计时汇编程序 计时器、串行显示子程序
所属分类:
硬件开发
发布日期:2009-08-01
文件大小:3072
提供者:
zuiyejin
基于LabVIEW的24秒计时器
这是我自己的做的LabVIEW24秒计时器,可以暂停,到0停止计数,比较简单,需要的可以参考一下
所属分类:
其它
发布日期:2010-06-26
文件大小:9216
提供者:
NANAjjj
Protel课程设计-篮球竞赛24秒定时电路
摘要 I Abstract II 1 Protel 介绍 1 2 新建Protel文件 2 3.1 篮球竞赛24秒定时电路基本原理 5 3.2 添加库元件放置元件设置元件属性 5 3.3 脉冲发生器原理图的绘制 8 3.4 数码管显示电路原理图的绘制 9 3.5 计时器电路的绘制 10 3.6整体电路图 11 3.7电气检查和生成网络表格 12 4 Protel制作PCB图 13 4.1 导入网络表格 13 4.2 画边框元件布局布线 14 5 电路仿真 18 5.1 绘制原理图 18 5.2
所属分类:
嵌入式
发布日期:2010-12-27
文件大小:676864
提供者:
ylatzw
24秒篮球定时器课程设计
做的比较好 也是自己课设的题目 希望给大家一个参考
所属分类:
专业指导
发布日期:2011-01-12
文件大小:446464
提供者:
a353092242
NBA篮球竞赛24秒计时器的设计
运用数字电路和模拟电路的基本知识,NBA篮球竞赛24秒计时器的设计
所属分类:
专业指导
发布日期:2011-06-13
文件大小:514048
提供者:
xllghost
篮球24秒计时器设计与仿真
篮球24秒计时器设计与仿真 篮球24秒计时器设计与仿真
所属分类:
数据库
发布日期:2011-06-25
文件大小:310272
提供者:
macbin89
NBA篮球竞赛24秒计时器的设计
NBA篮球竞赛24秒计时器的设计NBA篮球竞赛24秒计时器的设计
所属分类:
数据库
发布日期:2011-06-25
文件大小:514048
提供者:
macbin89
篮球24s计时器下载
篮球24s计时器下载此次电子线路课程设计让我获益匪浅,使我尝到了将所学的知识用于实践的喜悦和成就感。 此课程设计所设计制作的篮球竞赛24秒计时器是一个实用性设计。此次设计的成不仅为所学的专业课程打下了坚实的基础,提高了我们对分析与解决问题的能力,也在研究与电子爱好追求上做了一个很好的起步。 在这个设计中,我学到了学习理论时学不到的东西,不但锻炼我的动手能力而且巩固我们所学的理论知识,这样实践与理论相结合就可以更快而有效地掌握知识。 本次的设计使我从中学到了一些很重要的东西,那就是如何从理论到实
所属分类:
专业指导
发布日期:2011-07-19
文件大小:365568
提供者:
tababashisb
24秒计时器vhdl
24秒计时器 VHDL entity timer is port( clk : in std_logic; rst : in std_logic; pause : in std_logic; hit : in std_logic; sec1 : out std_logic_vector(5 downto 0); sec2 : out std_logic_vector(7 downto 0); sec1_pause : out std_logic_vector(5 downto 0); sec2
所属分类:
硬件开发
发布日期:2011-12-19
文件大小:2048
提供者:
zengkexin123
篮球比赛24秒倒计时计时器设计
数字电子技术课程设计 篮球比赛24秒倒计时计时器设计
所属分类:
专业指导
发布日期:2012-07-03
文件大小:1048576
提供者:
xuhuaitan
篮球24秒计时器.ewb
你们需要的东西,了解一下,特别是新手开始做的时候。加油哦。
所属分类:
网络监控
发布日期:2012-12-20
文件大小:31744
提供者:
bbb446512785
jquery 24小时计时器,精确到秒!
最大24小时计时,精确到秒. 00小时 00分 00秒 ...展开收缩
所属分类:
Web开发
发布日期:2015-02-04
文件大小:5120
提供者:
zhashan110
基于Protel99SE的24秒计时器
原理图设计; PCB设计 基于Protel99SE的24秒计时器
所属分类:
讲义
发布日期:2015-07-15
文件大小:395264
提供者:
mimeng000
篮球用24秒计时器设计电路
篮球用24秒计时器设计电路,PROTENS设计的完成图纸,可以直接运行
所属分类:
讲义
发布日期:2015-09-04
文件大小:129024
提供者:
liu807110304
篮球12分钟,24秒计时器Multisim文件
里面有篮球24秒计时器,和12分钟的计时器,具有蜂鸣器报警和光电报警的功能,也能够具有暂停,置数的功能。
所属分类:
讲义
发布日期:2015-12-05
文件大小:461824
提供者:
liuqi3256797
数子电子技术-verilog语言实现篮球24秒计时器
该文档主要是用verilog语言实现篮球24秒计时器,这是我做的数字电子技术课程的一次大作业。 里面为整个文件夹,解压之后可在Quartus13.0上直接运行。
所属分类:
其它
发布日期:2019-10-05
文件大小:5242880
提供者:
weixin_45577301
篮球24秒计时器电路设计
篮球比赛上下半场各20分钟,要求能随时暂停,启动后继续计时,一场比赛结束后应可清零重新开始比赛。 计时器由分、秒计数器完成,秒计数器为模60,分计数器应能计至40分钟。 “分”、“秒”显示用LED数码管,应配用相应译码器。 人工拨动开关来控制计时器的启动/暂停。 半场、全场到自动会有相应的提示。
所属分类:
专业指导
发布日期:2010-12-12
文件大小:50176
提供者:
qk1990
数电设计 篮球比赛24秒计时器---proteus
一、设计内容和要求 (1)具有24秒计时功能。 (2)设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能。 (3)在直接清零时,要求数码显示器灭灯。 (4)计时器为24秒递减时, 计时间隔为1秒。 (5)计时器递减到零时,数码显示器不能灭灯,同时发出光电报警信号。
所属分类:
交通
发布日期:2020-07-01
文件大小:79872
提供者:
qq_21499251
«
1
2
3
4
5
6
7
8
9
10
»