您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 两片74HC595级联驱动4位8段数码管

  2. 两片74HC595级联驱动4位8段数码管
  3. 所属分类:专业指导

    • 发布日期:2009-08-09
    • 文件大小:25600
    • 提供者:tfy19841021
  1. VHDL实验段数码管译码器设计与实现

  2. 一.实验目的 1. 掌握7段数码管译码器的设计与实现 2. 掌握模块化的设计方法 二.实验内容 设计一个7段数码管译码器,带数码管的4位可逆计数器 [具体要求] 1. 7段数码管译码器 使用拨码开关SW3, SW2, SW1, SW0作为输入,SW3为高位,SW0为低位。 将输出的结果在HEX1,HEX0显示。当输入为‘0000’~‘1111’显示为00~15, 2. 带数码管的4位可逆计数器 将实验三的结果在数码管上显示。结合上次实验,将4位可逆计数器,数码管显示,分别作为两个子模块,实现在
  3. 所属分类:专业指导

    • 发布日期:2010-03-31
    • 文件大小:1024
    • 提供者:woshishuiaabbb
  1. protel99se4段数码管.rar

  2. protel99se库文件,4段数码管,是我转载别人的。
  3. 所属分类:专业指导

    • 发布日期:2010-04-09
    • 文件大小:43008
    • 提供者:mpfei
  1. 4段数码管 单片机的应用

  2. 4段数码管!!!!!!!!!!!!!!!!!基于单片机的应用!!!!!!!
  3. 所属分类:硬件开发

    • 发布日期:2010-10-20
    • 文件大小:43008
    • 提供者:yuanhang168
  1. 八段数码管的十进制加计数

  2. 程序采用汇编语言编写。此程序在仿真软件上与EDN-51实验板上均通过。仿真图中的数码管位驱动采用74HC04,如按EDN-51板上用想同的PNP三极管驱动在仿真软件上则无法正常显示。程序共分5块,STAR0为数据初始化,STAR2为计数子程序,STAR3为4位数码管动态显示子程序,STAR4为按键扫描子程序,STS00是延时子程序。由于EDN-51实验板上没装BCD译码器,所以编写程序比较烦琐。
  3. 所属分类:C/C++

    • 发布日期:2011-04-24
    • 文件大小:360448
    • 提供者:liyongshang929
  1. 4位七段数码管动态显示系统设计FPGA实现

  2. 4位七段数码管动态显示系统设计FPGA实现
  3. 所属分类:嵌入式

    • 发布日期:2011-06-09
    • 文件大小:195584
    • 提供者:window1115
  1. 2片74HC595级联驱动4位8段数码管

  2. 2片74HC595级联驱动4位8段数码管,只用到单片机3个IO口,值得学习!同理,也可以驱动8位8段数码管。
  3. 所属分类:硬件开发

    • 发布日期:2011-11-27
    • 文件大小:357376
    • 提供者:zjnuan
  1. 嵌入式 4位数7段数码管

  2. 里面包括代码和项目。主要是利用嵌入式资源来进行7段数码管的演示。
  3. 所属分类:硬件开发

  1. ADC基于stm32的电压电流采集.用4位8段数码管显示

  2. ADC基于stm32的电压电流采集.用4位8段数码管显示 通过stm32的双adc采集两路电压值,对太阳能电池板的输出电压,电流进行采集。
  3. 所属分类:嵌入式

    • 发布日期:2012-03-02
    • 文件大小:102400
    • 提供者:chaoran626
  1. 4位7段数码管循环显示

  2. 基于51单片机的7段数码管显示,4位共阴的,里面介绍了它的引脚和动态显示方法
  3. 所属分类:硬件开发

    • 发布日期:2012-05-23
    • 文件大小:2097152
    • 提供者:haimeiwu
  1. 7段数码管显示设计

  2. 数码管选通控制信号分别对应4 个数码管的公共端,当某一位选通控制信号为高电平 时,其对应的数码管被点亮,因此通过控制选通信号就可以控制数码管循环依次点亮。一个 数码管稳定显示要求的切换频率要大于50Hz,那么4 个数码管则需要50×4=200Hz 以上 的切换频率才能看到不闪烁并且持续稳定显示的字符。
  3. 所属分类:其它

    • 发布日期:2013-07-15
    • 文件大小:135168
    • 提供者:u011406394
  1. 用7段共阳数码管做的时钟 verilog程序

  2. 用7段共阳数码管做的时钟 verilog程序 // 时钟用4个数码管显示,显示秒和分,修改一下可以加上时或跑秒 // sys_clk为系统时钟:50MHz // seg_dat为输出给数码管的8个1bit信号 // seg_sl 为数码管位选通
  3. 所属分类:硬件开发

    • 发布日期:2013-12-04
    • 文件大小:3072
    • 提供者:ab120053622
  1. 4位7段数码管循环显示实验报告

  2. 数字电路实验中的4位7段数码管循环显示实验的实验报告 新型单片机实践
  3. 所属分类:硬件开发

    • 发布日期:2013-12-11
    • 文件大小:2097152
    • 提供者:u012603457
  1. 4位数码管控制_定时器扫描

  2. 4位数码管控制_定时器扫描__实验 定时器中断方式控制4位数码管 pf0~pf3,是位选 pf4~pf11,是7段数码,小数点
  3. 所属分类:C/C++

    • 发布日期:2017-12-19
    • 文件大小:18432
    • 提供者:h785937095
  1. TM1637四段数码管模块驱动(.c和.h).docx

  2. 驱动tm1637。1.注意事项 ①写地址可以自动加一(0x40),写指定(0x44) ②0xc0-0xc3,分别是4段数码管的地址 ③0x8a 开显示,0x80关显示 ④通信方式IIC,但写数据式低位在前,别反了。
  3. 所属分类:C

    • 发布日期:2019-07-21
    • 文件大小:15360
    • 提供者:wpr666
  1. 80C51单片机实验仿真板的八段数码管设计实验

  2. 实验首先通过中断功能设计赋定时器初值,通过设置时钟显示的位置函数显示时钟数值,最后通过程序检测各位是否进位来调整数码管显示数值,时钟逻辑为秒和分的个位满10归0,十位满六归0,小时十位为2,个位满4归0,星期位满8归0,整体程序方可实现数码管显示。
  3. 所属分类:嵌入式

    • 发布日期:2020-05-27
    • 文件大小:25600
    • 提供者:ayanami080
  1. 7段数码管显示 for Easyarm 1138 4个共阳极

  2. 7段数码管显示 for Easyarm 1138 4个共阳极
  3. 所属分类:硬件开发

    • 发布日期:2010-08-25
    • 文件大小:223232
    • 提供者:baogehaohao
  1. 绘制漂亮的七段数码管.py

  2. import turtle,time def drawGap(): #绘制数码管间隔 turtle.penup() turtle.fd(5) def drawLine(draw): #绘制单段数码管 drawGap() turtle.pendown() if draw else turtle.penup() turtle.fd(40) drawGap() turtle.right(90) def drawDigit(di
  3. 所属分类:Java

    • 发布日期:2020-07-04
    • 文件大小:2048
    • 提供者:xiaomengxinbat
  1. 七段数码管封装指南Ver_1.0.pdf

  2. 七段数码管封装指南Ver_1.0,0.28/0.36/0.4/0.56英寸(inch),1/2/3/4位,共阴极、共阳极,红色高亮。
  3. 所属分类:电信

    • 发布日期:2020-07-20
    • 文件大小:2097152
    • 提供者:weixin_43431826
  1. BCD七段数码管译码器电路图

  2. BCD七段数码管译码器电路图 分段式显示器(LED数码管)由7条线段围成8型,每一段包含一个发光二极管。外加正向电压时二极管导通,发出清晰的光,有红、黄、绿等色。只要按规律控制各发光段的亮、灭,就可以显示各种字形或符号。 图4 - 17(a)是共阴式LED数码管......
  3. 所属分类:其它

    • 发布日期:2020-07-18
    • 文件大小:40960
    • 提供者:weixin_38663193
« 12 3 4 5 6 7 8 9 10 ... 22 »