您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. SPI主机实验-7段数码管显示

  2. SPI主机实验 7段数码管显示 ARM 可以用PROTEUS实现
  3. 所属分类:硬件开发

    • 发布日期:2009-09-02
    • 文件大小:129024
    • 提供者:lghcd
  1. SPI通信方式点亮2位7段数码管应用例程

  2. SPI通信方式点亮2位7段数码管应用例程 使用74HC595 和 74HC4094 都可以哦
  3. 所属分类:专业指导

    • 发布日期:2009-10-16
    • 文件大小:71680
    • 提供者:ayumi7
  1. hdl程序的设计:7段译码器

  2. hdl程序的设计:7段译码器----------------------------------------------------------------------------------- // DEscr iptION : BIN to seven segments converter // segment encoding // a // +---+ // f | | b // +---+ <- g // e | | c // +---+ // d // Enable (EN)
  3. 所属分类:C

    • 发布日期:2009-12-07
    • 文件大小:2048
    • 提供者:wenting_wei
  1. 89c52单片机C语言动态扫描7段数码管程序.doc

  2. 89c52单片机C语言动态扫描7段数码管程序.doc我也是别人那里考得,希望对你有些帮助。
  3. 所属分类:C

    • 发布日期:2010-02-06
    • 文件大小:29696
    • 提供者:xiaofei558008
  1. 7段数码管译码器设计与实现

  2. 7段数码管译码器设计与实现,过程很详细,包括原理和程序,电路图
  3. 所属分类:专业指导

    • 发布日期:2010-02-07
    • 文件大小:56320
    • 提供者:feiyinzilgd
  1. 单片机7段LED的小程序应用

  2. 7段LED的程序设计,用的是C++语言编写的,请理解
  3. 所属分类:硬件开发

    • 发布日期:2010-07-01
    • 文件大小:36864
    • 提供者:liangyingjie87
  1. 7段LED数码管字码生成软件

  2. 7段LED数码管字码生成软件 ,可以生成 C51 ASM PIC EMC的格式 字码软件
  3. 所属分类:C

    • 发布日期:2010-09-08
    • 文件大小:355328
    • 提供者:cspgyl
  1. 7段LED字形编码器(有共阴极和共阳极两种模式)

  2. 一款简单易用的7段LED编码工具,如果用7段LEd数码管显示需要编码可借助该软件实现。有共阴极和共阳极两种模式。
  3. 所属分类:专业指导

    • 发布日期:2010-10-16
    • 文件大小:53248
    • 提供者:damlaoqi
  1. 7段LED码查询软件

  2. 7段LED码查询,可以很方便的得到7段LED码,包括共阳和共阴
  3. 所属分类:专业指导

    • 发布日期:2008-03-16
    • 文件大小:10240
    • 提供者:jackew
  1. 7段数码管的c51驱动程序

  2. c51的7段数码管的驱动程序,适当修改可以移植用于所有的单片机。
  3. 所属分类:C

    • 发布日期:2011-01-08
    • 文件大小:2048
    • 提供者:suncheng7808
  1. 7段码时钟MFC实现

  2. 模拟单片机中的7段码时钟 http://blog.csdn.net/keyixuexi/archive/2011/03/04/6222286.aspx
  3. 所属分类:C++

    • 发布日期:2011-03-04
    • 文件大小:1048576
    • 提供者:keyixuexi
  1. 7段LED HEX 代码生成器

  2. 能够生成 7段 LED 字符 十六进制 代码的软件 很方便
  3. 所属分类:专业指导

    • 发布日期:2011-03-07
    • 文件大小:322560
    • 提供者:xxxue1
  1. 7段数码管显示码获取工具

  2. 设计目的:在嵌入式系统开发中经常需要用到7段数码管,数码管显示驱动开发需要根据硬件设计推算出对应的显示码,为此制作本小工具,用于方便自动生成显示码。只需要根据硬件连线设计各段的权值,即可自动生成显示码,提高了驱动开发效率。
  3. 所属分类:其它

    • 发布日期:2011-05-15
    • 文件大小:62464
    • 提供者:shiliangwen
  1. eda实验修改7段码的值,来显示你要显示的数

  2. 你可以修改7段码的值,来显示你要显示的数--本实验,按下板上的四个KEY时,数码管显示得到的数据 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity decl7s is
  3. 所属分类:软件测试

    • 发布日期:2011-05-19
    • 文件大小:804
    • 提供者:sky007lh
  1. 窗口下的8数码显示(C++写的,7段数码管显示)

  2. 这个是我自己写的一个8数码管的显示,可以在CWND类继承下来的窗口上显示数字,并且是7段数码类型。
  3. 所属分类:C++

    • 发布日期:2011-08-22
    • 文件大小:240640
    • 提供者:kolonse
  1. 嵌入式 4位数7段数码管

  2. 里面包括代码和项目。主要是利用嵌入式资源来进行7段数码管的演示。
  3. 所属分类:硬件开发

  1. 7段译码之代码

  2. 7段译码之代码
  3. 所属分类:硬件开发

    • 发布日期:2013-02-22
    • 文件大小:1024
    • 提供者:kd0917
  1. 【Arduino】开发入门【七】7段显示器实现+源代码

  2. 【Arduino】开发入门【七】7段显示器实现+源代码
  3. 所属分类:硬件开发

    • 发布日期:2013-04-09
    • 文件大小:776
    • 提供者:fnext
  1. 7段数码管控制接口实验

  2. 7段数码管控制接口实验
  3. 所属分类:电信

    • 发布日期:2013-07-25
    • 文件大小:53248
    • 提供者:u011504361
  1. ISE6进制计数器7段数字译码管显示

  2. 6进制计数器 7段数字显示基于ISE环境Verilog语言
  3. 所属分类:其它

    • 发布日期:2015-12-14
    • 文件大小:179200
    • 提供者:baidu_33364451
« 12 3 4 5 6 7 8 9 10 ... 50 »