您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 7段LED数码管字码生成软件

  2. 7段LED数码管字码生成软件 ,可以生成 C51 ASM PIC EMC的格式 字码软件
  3. 所属分类:C

    • 发布日期:2010-09-08
    • 文件大小:355328
    • 提供者:cspgyl
  1. 7段LED码查询软件

  2. 7段LED码查询,可以很方便的得到7段LED码,包括共阳和共阴
  3. 所属分类:专业指导

    • 发布日期:2008-03-16
    • 文件大小:10240
    • 提供者:jackew
  1. 7段码时钟MFC实现

  2. 模拟单片机中的7段码时钟 http://blog.csdn.net/keyixuexi/archive/2011/03/04/6222286.aspx
  3. 所属分类:C++

    • 发布日期:2011-03-04
    • 文件大小:1048576
    • 提供者:keyixuexi
  1. 7段数码管显示码获取工具

  2. 设计目的:在嵌入式系统开发中经常需要用到7段数码管,数码管显示驱动开发需要根据硬件设计推算出对应的显示码,为此制作本小工具,用于方便自动生成显示码。只需要根据硬件连线设计各段的权值,即可自动生成显示码,提高了驱动开发效率。
  3. 所属分类:其它

    • 发布日期:2011-05-15
    • 文件大小:62464
    • 提供者:shiliangwen
  1. eda实验修改7段码的值,来显示你要显示的数

  2. 你可以修改7段码的值,来显示你要显示的数--本实验,按下板上的四个KEY时,数码管显示得到的数据 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity decl7s is
  3. 所属分类:软件测试

    • 发布日期:2011-05-19
    • 文件大小:804
    • 提供者:sky007lh
  1. vhdl七段码转换器

  2. 设计一个代码转换逻辑电路。把7位的ASCII码转换成7段字符显示代码。 能显示字母A,b,C,d,E,F,H,L,o,P,U,Γ,和一些符号(-,_,=,┫,┣,┓,┏)等。
  3. 所属分类:硬件开发

  1. 7段LED数码管段码设计终极版

  2. 7段LED数码管段码设计终极版简介 一、界面友好 1、单一窗口,高度集成 本款软件采用单一窗口设计,把主要功能高度集成在一齐,正常情况下不再需要打开菜单进行操作即可完成代码设计,极为方便。 2、设计美观,布局合理; 本款软件没有采用华丽的界面,只是选择了最为常规的风格,主要为了缩短研发周期,更早的服务于广大单片机爱好者,尽管如此,由于布局合理,采用专业化的审美标准和设计流程,使界面做得大方、美观。主要构件如:菜单、基本工具栏、自定义代码设计窗口、MCU与LED连接窗口、代码输出窗口、状态栏等通
  3. 所属分类:嵌入式

    • 发布日期:2012-10-18
    • 文件大小:745472
    • 提供者:zhlyz2003
  1. 段码LED编码器

  2. 对7段段码LED进行自动编码生成代码,免去人工编码的麻烦。方便快捷
  3. 所属分类:C

    • 发布日期:2013-10-24
    • 文件大小:194560
    • 提供者:wrj198504
  1. 74LS47-7段码数码管驱动芯片

  2. 74LS47-7段码数码管驱动芯片资料 下载后回复!
  3. 所属分类:嵌入式

    • 发布日期:2008-12-29
    • 文件大小:65536
    • 提供者:nemodemo
  1. 单片机里面常用数码管段码提取

  2. 数码管段码提取,单片机里面常用数码管段码提取 数码管段码提取,单片机里面常用数码管段码提取
  3. 所属分类:硬件开发

    • 发布日期:2009-04-10
    • 文件大小:175104
    • 提供者:zook0k
  1. 数码管的数字显示共阳共阴段码

  2. 常用的7段数码管组成结构及显示原理,共阳数码管和共阴数码管段码。
  3. 所属分类:C

    • 发布日期:2018-03-26
    • 文件大小:35840
    • 提供者:centch321
  1. 7段LED数码管段码设计终极版

  2. 7段LED数码管段码设计终极版 本软件属绿色免安装版,只要将资料包解压,无须安装即可正常运行。使用前请详细阅读帮助文件。
  3. 所属分类:硬件开发

    • 发布日期:2018-01-29
    • 文件大小:745472
    • 提供者:liuxs_liu
  1. 显示/光电技术中的LED编码表与段码表

  2. LED以分为共阳极和共阴极两种结构,如图1(b)和图2(c)所示。如为共阳极结构,则数码显示器(a~g)施加低电平有效;如为共阴极结构,则数码显示器施加高电平有效。由7个发光段的不同组合,可以显示0~9和A~F共16个字母数字,从而实现十六进制数的显示。表1、表2分别为共阳极和共阴极0~9的字型编码。   图  7段式LED显示器件   表1  共阳极编码表   表2  共阴极编码表   续表   7段显示块与微机接口非常容易,只要将一个8位并行输出口与显示块的发光二极
  3. 所属分类:其它

    • 发布日期:2020-11-14
    • 文件大小:248832
    • 提供者:weixin_38684976
  1. Basic-NodeMCUV2-Dev-Shield:NodeMCU V2的基本开发板,包括3个按钮,RGB LED和7段显示器-源码

  2. 注意:这是屏蔽/子板的预发行版,并且仍在开发中(主要是文档)。 E707基本NodeMCU-V2屏蔽 此防护板/子母板用于NodeMCU V2(也称为NodeMCU DevKit V1.0,有点令人困惑),使初学者可以使用一些组件。 在这种情况下,它包括一个7段显示器,一个RGB LED(与7段显示器挂钩)和3个按钮。 Arduino库 可以在以下位置找到用于此屏蔽的Arduino库: : 。 它提供了引脚定义,更重要的是提供了一些入门示例。 它在另一个仓库中的原因是允许将库轻松下载为Z
  3. 所属分类:其它

    • 发布日期:2021-03-17
    • 文件大小:4194304
    • 提供者:weixin_42138525
  1. 7段显示--源码

  2. 7段显示- 使用Switch在7段微控制器上显示数字所用:Atmega AT90USB1286编程器所用:Jtag,AVR DRAGON开发板
  3. 所属分类:其它

    • 发布日期:2021-03-03
    • 文件大小:2048
    • 提供者:weixin_42099633
  1. 道路交通灯:使用微控制器和7段显示器的道路交通灯项目-源码

  2. 道路交通灯:使用微控制器和7段显示器的道路交通灯项目
  3. 所属分类:其它

    • 发布日期:2021-03-03
    • 文件大小:57344
    • 提供者:weixin_42151373
  1. paelzer-PWM-风扇控制通过温度传感器-KTY81-110-带显示屏:通过连接到Arduino Nano的KTY81-110热敏电阻进行PWM风扇控制。 温度输出到通过74HC595移位寄存器控制的4位7段显示器(A-574E)-源

  2. Paelzer-PWM-风扇通过温度传感器控制KTY81-110,带有显示屏 通过连接到Arduino Nano的KTY81-110热敏电阻进行PWM风扇控制。 温度输出到通过74HC595移位寄存器控制的4位7段显示器(A-574E)。
  3. 所属分类:其它

    • 发布日期:2021-02-15
    • 文件大小:2097152
    • 提供者:weixin_42116650
  1. Open7SClock:开源7段显示时钟-源码

  2. Open7SClock 开源7段显示时钟 便宜的无线控制7段显示时钟。 在法国,如果您拥有3D打印机,则价格约为-23欧元: 14.60€Raspberry Pi零WH( ) 6.07€3x TM1637( ) ≈1€PLA塑料长丝 <1€4x M2.5x8螺丝和螺母 <1€12x M2x16螺丝和螺母 建立 步骤1 打印零件: 1个容器 1个盖子 12x垫片 第2步 将Raspberry Pi Zero拧入容器中的适当位置。 第三步 按照此图连接七个分段显示器:
  3. 所属分类:其它

    • 发布日期:2021-02-12
    • 文件大小:41943040
    • 提供者:weixin_42133329
  1. DigitalClock-ISR-RS485:微处理器系统类微型项目。 数字时钟利用ATMEGA的ISR溢出定时器向LCD和7段显示时间,并使用MODBUS RS485连接到PC-源码

  2. TUBES SISMIK组件: Arduino Uno I2C液晶屏 7段TM1673芯片 MAX485 TTL转MODBUS RS-485模块 rs-485转USB适配器 使用arduino创建的程序。 用于ISR定时器溢出的中断。 HMI界面在Visual Studio中使用Windows窗体创建,而C#PCB是使用Eagle创建的
  3. 所属分类:其它

    • 发布日期:2021-02-08
    • 文件大小:89088
    • 提供者:weixin_42157166
  1. liquidTemp:用于17b20单线温度传感器和7段数字显示器的Arduino草图-源码

  2. liquidTemp:用于17b20单线温度传感器和7段数字显示器的Arduino草图
  3. 所属分类:其它

« 12 3 4 5 6 7 8 9 10 ... 50 »