您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. cpu模型机课程设计.zip

  2. 台模型计算机的设计 一、教学目的、任务与实验设备 1. 教学目的 (1)融会贯通本课程各章节的内容,通过知识的综合运用,加深对计算机系统各模块的工作原理及相互联系的认识,加深计算机工作中“时间—空间”概念的理解,从而清晰地建立计算机的整机概念。 (2)学习设计和调试计算机的基本步骤和方法,提高使用软件仿真工具和集成电路的基本技能。 (3)培养科学研究的独立工作能力,取得工程设计与组装调试的实践和经验。 2.设计与调试任务 (1)按给定的数据格式和指令系统,在所提供的器件范围内,设计一台微程序控
  3. 所属分类:嵌入式

    • 发布日期:2010-12-07
    • 文件大小:1048576
    • 提供者:for_you
  1. 8位ALU运算器(VHDL语言)

  2. (1)按照实验要求设计简单ALU,能执行8种操作,分别为: 1)加、减、增1、减1等4种8位算术运算; 2)与、或、非、异或等4种8位逻辑运算。 实现上,可以用一位M 作为进行算术运算或逻辑运算的控制位,M=0 时进行算术运算,M=1 时进行逻辑运算。另外用2位来表示4种操作。 (2)实现一些基本的PSW标志位: 1)进位/借位的输出标志位C; 2) 运算结果为零的输出标志位Z; 3) 运算结果为溢出的输出标志位V; 4) 运算结果为负数的输出标志位N。 (3)加减必须用最基本的1位全加器fa
  3. 所属分类:硬件开发

    • 发布日期:2011-05-18
    • 文件大小:26624
    • 提供者:wwweet
  1. 模型机设计 课程设计

  2. 1、 根据任务要求设计整机系统的方案。 2、 存储系统:使用模型机的存储模块,说明存储器的输入输出时序,模块连接方式等。 3、 运算器:使用模型机的器件,组成带有片间串行进位8/16位算术、逻辑运算功能的运算器。 4、 微程序控制器模块:使用教学机的系统,设计微程序控制器。 5、 设计模型机指令系统:(含设计微指令格式、微程序流程图,每条指令所对应的微程序等)。指令系统包括下列指令:IN、OUT、STA、LDA、JMP、BZC、CLR、MOV、ADD、SUB、ADC、ADT、INC、DEC、S
  3. 所属分类:专业指导

    • 发布日期:2011-06-27
    • 文件大小:345088
    • 提供者:a410997817
  1. VHDL语言8位ALU设计

  2. 用VHDL语言,模块化设计方式,实现8位运算器单元ALU的设计。
  3. 所属分类:专业指导

    • 发布日期:2012-03-10
    • 文件大小:388096
    • 提供者:qqx163452
  1. 两个计算机组成原理的课设报告

  2. 1.掌握计算机中运算器的功能与结构特点2.熟悉算术逻辑单元74LS181的结构特点和功能特性3.利用两片74LS181和相关的基本电路设计简单的8位运算器4.在TDN-CM+ 教学实验系统中,利用各种电路芯片实现运算器,并完成指定运算5.绘制以上8位运算器的全部芯片电路连接图,撰写相应的设计报告1.掌握存储器的设计目标和功能特点,熟悉SRAM6264一的结构特点2.利用SRAM6264和相关的基本电路设计8位地址的存储器电路3.在TDN-CM+实验系统中,用SRAM6264 和门电路实现8位地
  3. 所属分类:嵌入式

    • 发布日期:2008-06-29
    • 文件大小:536576
    • 提供者:chengxuaihzh
  1. multisim13八位全加器

  2. 设计总体分为两部分,一部分为8位二进制数的输入和储存电路,另一部分则为8位二进制的计算和输出的电路。模块大致由加数的输入,加法运算和运算结果的显示组成,其中两个8位二进制的数据从存储器传向运算器时,它们之间的电路由时钟信号进行控制。
  3. 所属分类:其它

    • 发布日期:2017-12-01
    • 文件大小:395264
    • 提供者:qq_37015678
  1. 计算机组成原理—运算器设计实验

  2. 1、 设计及实验内容 方案一:利用四片AM2901构成16位字长的ALU。注意每一片芯片是4位的运算部件,需要四片采用一定方式组成16位运算器。运算的数据,运算结果在脱机实验时通过发光二极管显示;连机实验时通过上位机的屏幕显示。 方案二:利用两片74LS181以并、串形式构成8位字长的ALU。数据开关用来给出参与运算的数据,运算结果经过数据线,通过显示灯显示。 方案三:利用虚拟实验软件进行上述一种运算器的设计及运行。 2、目的及要求 掌握运算器的组成、原理及数据传送通路;验证运算功能。(详见实
  3. 所属分类:嵌入式

    • 发布日期:2008-12-13
    • 文件大小:136192
    • 提供者:a_71232455
  1. 硬件课程设计指导书

  2. 硬件课程设计指导,有以下的内容 课程设计题目:(1)8 位运算器设计 (2)16 位串行/并行运算器设计 (3)交通灯控制系统设计 (4)船舱温度数据采集系统设计 (5)船舶信息实时解析系统设计 (6)超声波测距系统设计
  3. 所属分类:嵌入式

    • 发布日期:2019-04-21
    • 文件大小:2097152
    • 提供者:qq_36313156
  1. 运算器设计(HUST)

  2. 1.8位可控加减法电路设计 2.CLA182四位先行进位电路设计 3.4位快速加法器设计 4.16位快速加法器设计 5.32位快速加法器设计 6.5位无符号阵列乘法器设计 7.位有符号补码阵列乘法器 8.乘法流水线设计 9.原码一位乘法器设计 10.补码一位乘法器设计 11.MIPS运算器设计
  3. 所属分类:互联网

    • 发布日期:2020-05-22
    • 文件大小:428032
    • 提供者:weixin_44590688
  1. 华中科技大学计算机组成原理实验一 运算器设计(加法器设计)

  2. 华中科技大学计算机组成原理实验一 运算器设计(加法器设计) 8位可控加减法电路设计 CLA182四位先行进位电路设计 4位快速加法器设计 16位快速加法器设计 32位快速加法器设计 5位无符号阵列乘法器设计 6位有符号补码阵列乘法器 乘法流水线设计
  3. 所属分类:互联网

    • 发布日期:2020-05-20
    • 文件大小:724992
    • 提供者:weixin_43330835
  1. 华中科技大学计算机组成原理 运算器设计实验(HUST) (educoder)完成文件

  2. 代码包含: 8位可控加减法电路设计 CLA182四位先行进位电路设计 4/16/32位快速加法器设计 5位无符号阵列乘法器设计 6位有符号补码阵列乘法器 乘法流水线设计 原码一位乘法器设计 补码一位乘法器设计 MIPS运算器设计
  3. 所属分类:软件测试

    • 发布日期:2020-05-17
    • 文件大小:47104
    • 提供者:first_zhangwei
  1. 运算器设计实验(计算机组成原理)

  2. Logisim运算器实验文件,包含8位可控加减法器、32位快速加法器、6位补码阵列乘法器、原码一位乘法器、补码一位乘法器、算术逻辑运算单元ALU
  3. 所属分类:其它

    • 发布日期:2020-05-17
    • 文件大小:736256
    • 提供者:canwu1212
  1. 华中科技大学计算机组成原理实验一实验二运算器设计储存系统设计

  2. 本资源包含华中科技大学计算机组成原理实验一运算器设计的前五个实验,和储存系统设计的前两个实验,下载后解压为circ文件即可。可以通过educoder平台 包含实验名称:8位可控加减法电路设计,CLA182四位先行进位电路设计,4位快速加法器设计,16位快速加法器设计,32位快速加法器设计,汉字字库存储芯片扩展实验,MIPS寄存器文件设计
  3. 所属分类:互联网

    • 发布日期:2020-05-17
    • 文件大小:480256
    • 提供者:qq_44767279
  1. 计算机组成原理实验课程 实验一 运算器设计(加法器设计)8位可控加减法器设计、32位算术逻辑运算单元ALU设计alu.circ

  2. 8位可控加减法器设计、32位算术逻辑运算单元ALU设计、四位先行进位74182、四位快速加法器 、8位快速加法器、16位快速加法器、5位阵列乘法、6位补码阵列乘法器等电路,已画好。alu自动测试是100分。
  3. 所属分类:Linux

    • 发布日期:2020-05-16
    • 文件大小:727040
    • 提供者:CN_EventHorizon
  1. educoder运算器设计alu.circ

  2. educoder logism 计算机组成原理 8位可控加减法电路设计CLA182四位先行进位电路设计等九关完整答案,已通过。
  3. 所属分类:教育

    • 发布日期:2020-05-08
    • 文件大小:552960
    • 提供者:qq_39759475
  1. 华中科技大学计算机组成原理实验二运算器实验Logisim源文件8位可控加减法器设计32位算术逻辑运算单元ALU设计

  2. .circ文件。华中科技大学计算机组成原理实验二运算器实验Logisim源文件,里面有8位可控加减法器设计、32位算术逻辑运算单元ALU设计、四位先行进位74182、四位快速加法器 、8位快速加法器、16位快速加法器、5位阵列乘法、6位补码阵列乘法器等电路,已经连接画好了。alu自动测试是100分。
  3. 所属分类:专业指导

    • 发布日期:2020-05-06
    • 文件大小:41984
    • 提供者:OldHuangC
  1. 华中科技大学自己动手画CPU运算器设计1-11答案计算机组成原理

  2. 8位可控加减法电路设计 CLA182四位先行进位电路设计 4位快速加法器设计 16位快速加法器设计 32位快速加法器设计 5位无符号阵列乘法器设计 6位有符号补码阵列乘法器 乘法流水线设计 原码一位乘法器设计 补码一位乘法器设计 MIPS运算器设计
  3. 所属分类:专业指导

    • 发布日期:2020-06-01
    • 文件大小:556032
    • 提供者:weixin_44884234
  1. 计算机硬件系统设计logism 运算器设计

  2. alu.circ文件。文件内容包括4位先行进位、4位快速加法器、8位可控加减法器、32位快速加法器、32位快速加法器、32位ALU、原码一位乘法器。
  3. 所属分类:硬件开发

    • 发布日期:2020-05-31
    • 文件大小:694272
    • 提供者:qq_45093131
  1. multisim 8位补码加减法器电路.ms14

  2. 1、设计一个能够完成8位补码加减法运算器,采用8位数据总线结进行数据的输入、输出。利用行波(串行)进位的方式,并具备数据锁存功能和溢出判断功能。 2、输入数据为补码,其中高1位为符号位,低7位为数据位,运算结果亦是补码。 3、通过功能选择控制信号M选择运算功能,M=0时,进行加法运算,M=1,进行减法运算; 4、用指示灯或者数码管显示总线上的数据(输入数据、输出数据)。用指示灯或者发光二极管显示溢出判断结果,红灯表示正溢出,黄灯表示负溢出,绿灯表示未溢出正数,蓝灯表示未溢出负数。
  3. 所属分类:互联网

    • 发布日期:2020-07-05
    • 文件大小:796672
    • 提供者:weixin_39444707
  1. educoder运算器设计.rar

  2. educoder计算机组成闯关的运算器设计前5关的代码,直接在代码栏复制黏贴就能过关 8位可控加减法电路设计8位可控加减法电路设计
  3. 所属分类:Dell

    • 发布日期:2020-07-22
    • 文件大小:207872
    • 提供者:qw160
« 12 3 »