您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. EDA实验8 数码管扫描显示的设计

  2. EDA实验 数码管扫描显示的设计 用VHDL语言设计一个数码管动态扫描显示控制器,其顶层电路框图如图8-1所示,顶层电路原理图如图8-2所示。本电路具有预置输入功能,能够自动检测四位输入数据
  3. 所属分类:专业指导

    • 发布日期:2009-06-22
    • 文件大小:813056
    • 提供者:ljjieyi
  1. 数码管动态显示试验工程

  2. 实现开发板上8个数码管动态显示0~7.通过实验,掌握采用VerilogHDL语言编程实现7段数码管显示译码器以及数码管动态扫描显示的方法。
  3. 所属分类:硬件开发

    • 发布日期:2009-08-27
    • 文件大小:262144
    • 提供者:smilesummerxc
  1. 单片机键盘控制数码管显示

  2. 一个51单片机实现4×4键盘控制8位数码管动态显示,绝对原创!
  3. 所属分类:硬件开发

    • 发布日期:2010-05-18
    • 文件大小:16384
    • 提供者:AssemblyCai
  1. 单片机程序代码(c语言)8数码管动态显示

  2. 单片机程序代码(c语言)8数码管动态显示
  3. 所属分类:C

    • 发布日期:2011-03-06
    • 文件大小:1024
    • 提供者:kateas
  1. 单片机中数码管动态扫描

  2. 单片机课程设计中实现数码管动态显示,8个数码管显示连续数字
  3. 所属分类:嵌入式

    • 发布日期:2011-05-18
    • 文件大小:154
    • 提供者:chengjia12
  1. 数码管动态显示

  2. 参考郭天祥老师教程做的定时器延时数码管动态显示程序,很简单,大家一起学习吧!
  3. 所属分类:软件测试

    • 发布日期:2012-03-12
    • 文件大小:76800
    • 提供者:nicklzd
  1. 2个595的8位数码管动态扫描显示(3IO)工程+仿真

  2. 2个595的8位数码管动态扫描显示(3IO)工程+仿真,硬件测试通过
  3. 所属分类:C

    • 发布日期:2012-05-27
    • 文件大小:47104
    • 提供者:wg2700209
  1. 8位数码管动态显示实验程序

  2. 51单片机8位数码管动态显示,protues仿真电路+c程序
  3. 所属分类:C

    • 发布日期:2012-10-16
    • 文件大小:51200
    • 提供者:fshy1990
  1. C51数码管动态显示

  2. 单片机P2口接74HC138(三八译码器)P2.3--74HC138:/EI、P2.2--74HC138:A2、P2.1--74HC138:A1、P2.0--74HC138:A0 译码器输出 Y0,Y1、Y2、Y3、Y4、Y5、Y6、Y7均低电平有效,分别选通1~8个数码管。包括2个四位一体数码管LG3641BH,共2x4=8个数码管。 数码管数据口为P0口。数码管为共阳4位一体数码管。 功能: 译码器输出为1——8个数码管的段选信号,轮流选择1——8数码管。 dispaly(uchar ch
  3. 所属分类:嵌入式

    • 发布日期:2014-06-26
    • 文件大小:1048576
    • 提供者:lishoubox
  1. 数码管动态扫描

  2. 动态显示驱动:数码管动态显示接口是单片机中应用最为广泛的一种显示方式之一,动态驱动是将所有数码管的8个显示笔划"a,b,c,d,e,f,g,dp"的同名端连在一起,另外为每个数码管的公共极COM增加位选通控制电路,位选通由各自独立的I/O线控制,当单片机输出字形码时,所有数码管都接收到相同的字形码,但究竟是那个数码管会显示出字形,取决于单片机对位选通COM端电路的控制,所以我们只要将需要显示的数码管的选通控制打开,该位就显示出字形,没有选通的数码管就不会亮。通过分时轮流控制各个数码管的的COM
  3. 所属分类:机器学习

    • 发布日期:2017-12-10
    • 文件大小:183
    • 提供者:qq_41336130
  1. 4位16进制数的数码管动态显示电路描述

  2. 首先输出多路十六进制数据,然后将其译码。这种方案只需要一个译码电 路,使4选1数据选择器的位宽从8位降为了5位(4位16进制数和1位小数点)。除clock和reset信号之外,输入信号包括4个4位十六进制数据:hex3, hex2, hex1,hex0,和dp_in中的4位小数点。
  3. 所属分类:IT管理

    • 发布日期:2020-04-23
    • 文件大小:10240
    • 提供者:wen808080
  1. DEMO工程_“STC-B学习板”数码管动态扫描.zip

  2. STC-B学习板”数码管动态扫描示例代码,供大家使用 void Display(char Dis_min, char Dis_max) { char selData[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f,0x40,0x00}; // 数码管显示译码表 /* 序号: 0 1 2 3 4 5 6 7 8 9 10 11 */ /* 显示:
  3. 所属分类:C#

    • 发布日期:2019-07-02
    • 文件大小:94208
    • 提供者:pureignorance
  1. 4-8位数码管动态扫描

  2. 本人亲测可用的软件原理图,用KEIL与proteus实现按键扫描数码管显示的源程序,使用八个数码管实时显示。
  3. 所属分类:软件测试

    • 发布日期:2020-06-14
    • 文件大小:164864
    • 提供者:weixin_48183999
  1. 数码管动态显示Multisim仿真图.ms14

  2. 课程设计,数码管的动态显示,数码管由0、2、4、6、8依次偶数形式进行显示后,由1、3、5、7、9依次奇数形式进行显示后,由0、1、2、3、4、5、6、7、8、9依次顺序进行循环显示。
  3. 所属分类:电信

    • 发布日期:2020-06-24
    • 文件大小:268288
    • 提供者:qq_40992227
  1. 51单片机数码管动态扫描驱动的设计

  2. 数码管由于发光亮度强,指示效果好,非常适合于电梯楼层等数值显示应用中。对于一位数码管,可以采用静态显示,但实际应用中都是需要显示多位数值,数码管模块也只能动态显示,因此笔者在这里简单分析一下数码管动态扫描驱动的实现。 1. 数码管原理概述 数码管由多个发光二极管封装在一起组成“8”字型的器件,引线已在内部连接完成,只引出它们的各个笔划,公共电极。数码管实际上是由七个发光管组成8字形构成的,加上小数点就是8个。这些段分别由字母a,b,c,d,e,f,g,dp来表示。数码管根据内部接法又可分成共
  3. 所属分类:其它

    • 发布日期:2020-07-14
    • 文件大小:166912
    • 提供者:weixin_38678550
  1. 基于NiosⅡ软核处理器的七段数码管动态显示设计

  2. 在本文中,针对数码管的显示,定制了一个七段数码管动态显示接口元件,可以用来驱动1~8个共阴极(或共阳极)数码管的显示,可以根据需要选择小数点显示的位置,每个数码管可以显示0~F之间的十六进制字符,并通过实验验证了其功能的正确性。
  3. 所属分类:其它

    • 发布日期:2020-08-05
    • 文件大小:97280
    • 提供者:weixin_38681719
  1. 单片机C语言程序设计:定时器控制数码管动态显示

  2. 名称:定时器控制数码管动态显示 说明:8 个数码管上分两组动态显示年月日与时分秒,本例的位显示延时用定时器实现。
  3. 所属分类:其它

    • 发布日期:2020-08-28
    • 文件大小:123904
    • 提供者:weixin_38750644
  1. 基于FPGA的8段数码管动态显示IP核设计

  2. 设计8段数码管动态显示IP核,并给出此核的一个参考驱动显示程序。此核根据设计需要,可例化1~8个共阳极(或共阴极)数码管控制器,成功控制1~8个数码管工作,大大提高设计效率。测试结果表明,此核工作可靠、稳定,可直接应用于工程实践中。
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:502784
    • 提供者:weixin_38637580
  1. EDA/PLD中的基于FPGA的8段数码管动态显示IP核设计

  2. 引言   数码管可显示简单的字符和数字,由于其价格低廉、性能稳定、显示清晰、亮度高、使用电压低、寿命长,在工业生产、交通运输、仪器仪表及家用电器等场合得到广泛应用。然而,开发基于NiosⅡ的嵌入式系统时,Builder开发工具中没有提供现成的数码管显示IP核,这使设计者工作量增加。这里把数码管控制器设计为一个共阴极(或共阳极)7段数码管动态显示IP核,并给出此核的一个参考驱动程序。在系统设计中,可根据实际需求,把此核直接例化成1~8个共阴极(或共阳极)数码管显示控制器,控制1~8个共阴极(或共
  3. 所属分类:其它

    • 发布日期:2020-11-09
    • 文件大小:373760
    • 提供者:weixin_38587924
  1. 基于FPGA的8段数码管动态显示IP核设计

  2. 引言   数码管可显示简单的字符和数字,由于其价格低廉、性能稳定、显示清晰、亮度高、使用电压低、寿命长,在工业生产、交通运输、仪器仪表及家用电器等场合得到广泛应用。然而,开发基于NiosⅡ的嵌入式系统时,Builder开发工具中没有提供现成的数码管显示IP核,这使设计者工作量增加。这里把数码管控制器设计为一个共阴极(或共阳极)7段数码管动态显示IP核,并给出此核的一个参考驱动程序。在系统设计中,可根据实际需求,把此核直接例化成1~8个共阴极(或共阳极)数码管显示控制器,控制1~8个共阴极(或共
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:539648
    • 提供者:weixin_38687199
« 12 3 4 5 6 7 8 9 10 ... 14 »