您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. STM32红牛开发板用户手册

  2. 红牛STM32开发板是以意法半导体 (ST)公司推出的基于ARM Cortex-M3系 列最高配置芯片STM32F103ZE为核心组成。 板上资源: ● CPU:STM32F103ZET6;(LQFP144脚,片上集成512K flash、64KRAM、12Bit ADC、DAC、PWM、CAN、USB、SDIO、FSMC等资源) ● 板上外扩512K SRAM, 2M NOR FLASH (板上支持最大1024k SRAM,16M的NOR FLASH)满足大容量数据采集、处理及分析要求 ●
  3. 所属分类:Flash

    • 发布日期:2010-03-20
    • 文件大小:493568
    • 提供者:huangshengqun
  1. AVR的比较/定时器0和1的初始化代码

  2. 经测试过的初始化代码,直接复制到程序里就可用,并且包含了TC1的两路8位PWM和一路16位PWM程序,用户直接给OCR1A、OCR1B直接赋值即可。
  3. 所属分类:其它

    • 发布日期:2010-03-21
    • 文件大小:666
    • 提供者:yamato2011
  1. pic学习资料-网上收集

  2. 带多路PWM输出的PIC芯片选型参考 PIC 8位单片机的产品性能和相应的封装引脚介绍后,认为对初学者而言还需了解各引脚符号的意义,才能进一步学习和使用它。笔者为此作相关的说明,以便和初学者共同提高。
  3. 所属分类:硬件开发

    • 发布日期:2010-03-23
    • 文件大小:32768
    • 提供者:zwhuwz
  1. SZ8AD-24MT-232控制测量模块

  2. SZ8AD-24MT-232是一款高性价比的开关量输入+输出+模拟量测量模块,其主要功能有:16路光隔离开关量输入+8路光隔离开关量输出+8路模拟量测量(AD转换)模块,RS-232通讯接口;本产品AD测量功能适用于各种具有线性输出特性的传感器或其他测量设备,如压力、重量、温度、湿度等的测量。 MODBUS-RTU通讯规约,12或24V输入电源;外形小巧(145*90*40mm)、高可靠性与极高性价比,使其可广泛应用于各种工业测量与控制系统中。 16路隔离开关量输入, 可有源或无源空触点输
  3. 所属分类:硬件开发

    • 发布日期:2011-05-08
    • 文件大小:414720
    • 提供者:email2lgc
  1. STC12C5A60S2温度控制PWM脉宽

  2. #include"reg52.h" #include /********************************************************************************************* 函数名:PWM初始化函数 调 用:PWM_init(); 参 数:无 返回值:无 结 果:将PCA初始化为PWM模式,初始占空比为0 备 注:需要更多路PWM输出直接插入CCAPnH和CCAPnL即可 /************************
  3. 所属分类:C/C++

    • 发布日期:2011-06-28
    • 文件大小:2048
    • 提供者:zixuezheli
  1. LPC2478 开发板技术说明

  2. LPC2478开发板套件GKB-LPC2478是应用恩智浦(NXP)的基于ARM7TDMI的LPC2478微处理器而设计的工业测控的基本平台。 它由核心模块和基板组成:片上集成512KB FLASH 和98KB静态存储器;提供外部存储器扩展接口EMC,支持SDRAM、SRAM或FLASH 内存扩展。 内部32位地址/数据总线,23位外部地址总线、32位外部数据总线,可最大4GB内存空间。 提供众多的串行通信接口:TCP/IP、USB2.0、RS232、IrDA、CAN、SPI、IIC、SSPS
  3. 所属分类:硬件开发

    • 发布日期:2011-07-02
    • 文件大小:35840
    • 提供者:renall
  1. STC半硬件实现12位PWM

  2. STC半硬件实现12位PWM 用4个8位PCA模块实现3路12位PWM输出 收集自网络,版权归原作者所有
  3. 所属分类:C

    • 发布日期:2011-12-07
    • 文件大小:53248
    • 提供者:chess01
  1. 松翰10路电容触摸键,LED调光8位单片机SN8P2523

  2. 1 1 1 产品简介 1.1 功能特性  产品性能列表 定时器 单片机名称 ROM RAM 堆栈 T0 TC0 TC1 T1 SIO MSP I/O 比较器 PWM 唤醒功能 引脚数目 封装形式 SN8P2522 2K*16 128 8 V V V V V - 16 8-ch 2 9 DIP18/SOP18/ SSOP20 SN8P2523 2K*16 256 8 V - V - V V 22 12-ch 8+1 14 SKDIP24/SOP24 /SSOP28 SN8P25231 2K*1
  3. 所属分类:硬件开发

    • 发布日期:2012-06-25
    • 文件大小:1048576
    • 提供者:lrhsx33
  1. 模拟8路PWM输出

  2. 舵机控制双足机器人多个PWMSHUCHU
  3. 所属分类:C

    • 发布日期:2013-03-09
    • 文件大小:2048
    • 提供者:xd0701
  1. stc12c5A60s2实现单定时器舵机控制程序

  2. 用一个定时器实现8路PWM舵机控制输出,可实现8路舵机控制,通过串口输入舵机偏移,通过io口发出pwm 50Hz。可以实现廉价的舵机控制板。
  3. 所属分类:C

    • 发布日期:2013-09-02
    • 文件大小:100352
    • 提供者:dopo10
  1. C8051F120PCA8位PWM波输出

  2. C8051F120 PCA定时器,8位PWM波两路输出输出端口为P0.0 P0.1, 包含改变占空比的内部,按照注释写数据既可改变占空比
  3. 所属分类:嵌入式

    • 发布日期:2013-09-20
    • 文件大小:4096
    • 提供者:gengnx
  1. Sate210-F开发板硬件手册V1.0.pdf

  2. Sate210-F核心板具有以下主要特性: ● S5PV210处理器Cortex-A8内核,主频1GHz; ● 512MB双通道64bit DDR-800 DDR2内存; ● 板载256MB SLC NADN FLSH闪存和4GB eMMC闪存(用户可定制更大容量); ● 1路通用数据总线Xm0(16bit数据线16bit地址线2个片选); ● 1路完整RGB888 LCD接口; ● 1路摄像头接口; ● 4路UART; ● 2路USB2.0高速接口(1USB HOST,1USB OTG);
  3. 所属分类:Android

    • 发布日期:2013-11-16
    • 文件大小:1048576
    • 提供者:gooogleman
  1. Sate210-F开发板硬件用户手册V2.0.pdf

  2. Sate210-F核心板具有以下主要特性: ● S5PV210处理器Cortex-A8内核,主频1GHz; ● 512MB双通道64bit DDR-800 DDR2内存; ● 板载256MB SLC NADN FLASH闪存和4GB eMMC闪存(用户可定制更大容量); ● 1路通用数据总线Xm0(16bit数据线16bit地址线2个片选); ● 1路完整RGB888 LCD接口; ● 1路摄像头接口; ● 4路UART; ● 2路USB2.0高速接口(1USB HOST,1USB OTG);
  3. 所属分类:Android

    • 发布日期:2013-11-17
    • 文件大小:1048576
    • 提供者:gooogleman
  1. MC9S12XS128控制180°舵机程序

  2. MC9S12XS128含有8路独立的PWM通道,该程序为PWM通道3实现PWM信号输出,占空比可调,周期为20ms,用于控制舵机旋转
  3. 所属分类:硬件开发

    • 发布日期:2014-07-20
    • 文件大小:535552
    • 提供者:u013035197
  1. atmega32 4路pwm输出

  2. atmega32 4路8位相位修正pwm输出代码
  3. 所属分类:嵌入式

    • 发布日期:2015-03-15
    • 文件大小:580
    • 提供者:zzp1123
  1. 16路PWM舵机模块驱动程序51单片机

  2. 淘宝上卖的16路PWM舵机驱动模块的51单片机程序 部分程序如下 #include #include #include #include typedef unsigned char uchar; typedef unsigned int uint; sbit scl=P1^3; //时钟输入线 sbit sda=P1^4; //数据输入/输出端 sbit KEY1=P2^0; sbit KEY2=P2^1; #define PCA9685_adrr 0x80// 1+A5+A4+A3+
  3. 所属分类:C

    • 发布日期:2018-07-10
    • 文件大小:51200
    • 提供者:technological
  1. 32F103 8路PWM输出实验

  2. TM3 TM4 各四个通道,共产生8路PWM波, 可改变频率或占空比
  3. 所属分类:C

    • 发布日期:2018-09-29
    • 文件大小:2097152
    • 提供者:qq_36809164
  1. 8路PWM波输出

  2. 本资源代码包主要是产生8路方波,仅供参考,使用的是STM32F103单片机,产生方波比较稳定,适合初学者学习。
  3. 所属分类:嵌入式

    • 发布日期:2019-02-09
    • 文件大小:2097152
    • 提供者:harryshumxu
  1. stm32八路pwm输出,可控制舵机RGB灯带.rar

  2. 写好的timer3和timer4各用4通道pwm输出,一共可控制8个pwm受控设备,每路信号均可单独调整占空比,但是频率在一个定时器下是一样的,可以分为timer3和4两种频率。本例程用于控制RGB灯带,接上pwm大功率控制模块,RGB灯带电源接12V,其余RGB接3路pwm控制各个灯亮灭和亮度
  3. 所属分类:其它

    • 发布日期:2020-02-23
    • 文件大小:2097152
    • 提供者:qq_37603131
  1. 多路可编程PWM芯片设计

  2. 摘要: 系统中的H桥驱动电路采用2路脉宽调制器(PWM)信号驱动一个电机来控制其正,反两个方向的运转,且两路信号必须有一定的时间间隔来避免驱动电流过大损害驱动元件。   系统中的H桥驱动电路采用2路脉宽调制器(PWM)信号驱动一个电机来控制其正,反两个方向的运转,且两路信号必须有一定的时间间隔来避免驱动电流过大损害驱动元件。为使其能灵活应用,针对系统要求,PWM控制器应具备以下功能:   3路独立PWM输出,每路输出2个驱动信号,周期、占空比、死区时间可编程,对应10MHz系统时钟,周期为1
  3. 所属分类:其它

    • 发布日期:2020-11-11
    • 文件大小:227328
    • 提供者:weixin_38721252
« 12 3 4 5 6 7 8 9 10 »