您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 8b—10b 编解码器设计

  2. 本程序的功能是实现串口通信,采用232传输协议,编码方式为8B/10B转换,即一位起始位,8位数据位,一位停止位,在actel Fusion系列开发板上得到验证,具有很强的通用性。本程序的编程语言为Verilog. [Giga8b10B v10.rar] - 可编程器件厂商Altera出品的8b10B编码器,用在现在通用的PCI-Express接口中,包含完全解密的源程序。
  3. 所属分类:专业指导

    • 发布日期:2010-03-25
    • 文件大小:72704
    • 提供者:zhangshuaivs
  1. a dc-banlanced,partitioned-block,8b10b transmission code.pdf

  2. IBM专利,8b10b编码,最权威的参考资料
  3. 所属分类:电信

    • 发布日期:2011-07-19
    • 文件大小:1048576
    • 提供者:angellovexm
  1. PCIE接口8B10B编、解码的实现

  2. PCIE接口8B10B编、解码的实现 PCIE接口8B10B编、解码的实现 PCIE接口8B10B编、解码的实现
  3. 所属分类:硬件开发

    • 发布日期:2012-02-02
    • 文件大小:622592
    • 提供者:tomlih
  1. 8B10B编解码FPGA程序

  2. 8B10B编解码FPGA程序
  3. 所属分类:硬件开发

    • 发布日期:2013-04-26
    • 文件大小:70656
    • 提供者:gkl_zzu
  1. 编解码8b10b

  2. 基于ISE14.2的编码解码以及测试文件
  3. 所属分类:软件测试

    • 发布日期:2013-06-25
    • 文件大小:570368
    • 提供者:heiheizone2
  1. 基于8b/10b编码技术的SerDes接口电路设计

  2. 电子科技大学学位论文, 很详细的研究了SerDes 8b/10b编解码 Comma检测等技术细节,值得一读。
  3. 所属分类:硬件开发

    • 发布日期:2014-09-26
    • 文件大小:1048576
    • 提供者:zm218
  1. 8010编解码代码

  2. 高速串行通讯中使用,主要可以用于rapidio或者光纤协议等
  3. 所属分类:硬件开发

    • 发布日期:2016-02-29
    • 文件大小:71680
    • 提供者:baidu_34115033
  1. VHDL8b10b编解码

  2. 采用VHDL语言进行8b10b编解码代码的编写,在modelsim中进行RTL级仿真,得到仿真图
  3. 所属分类:硬件开发

    • 发布日期:2017-10-29
    • 文件大小:9216
    • 提供者:qq_16653625
  1. 8b10b编解码第一手材料

  2. 8b10b编解码的原始发明者,在IBM公司1983就申请了专利。现在专利过期了,我们可以仔细参考。
  3. 所属分类:专业指导

    • 发布日期:2009-02-03
    • 文件大小:1048576
    • 提供者:h50327692
  1. 8B10B编解码FPGA程序

  2. 8B10B编解码FPGA程序
  3. 所属分类:硬件开发

  1. 基于FPGA的8b10b编解码verilog实现

  2. 本设计是采用EDA技术设计的一种8B /10B 编解码电路,实现了在高速的串行数据传输中的直流平衡。利用verilog HDL 逻辑设计语言,经过modelsim、quartus II的仿真和下载验证,实现其编码和解码的功能。 该编解码电路设计大体上可以由五个模块构成,分别是默认编码模块、差异度计算模块、编码校正模块、并串转换模块、显示模块。 采用Verilog HDL 描述、modelsim 10.2a 进行功能仿真、Quartus II 13.1 进行FPGA逻辑综合和适配下载,最后在Alt
  3. 所属分类:硬件开发

    • 发布日期:2019-07-12
    • 文件大小:3145728
    • 提供者:qq_40223983
  1. test_8b10b_16.zip

  2. 1.查表法8b10b编解码程序。简单仿真过。 2.test8b10b.v为测试程序,encoder8b10b_2.v为编码程序,decoder8b10b.v为解码程序。 3.发送端码表为8b10b.mif或者mem_8b10b.v,接收端码表为decoder3b4b.mif(mem_4b3b.v)和decoder5b6b.mif(mem_6b5b.v)。码表是手动输入的,可能存在错误,但是可能性不大。 4.发送端码表为12位,后10位为r-,高两位为r+与r-的关系。
  3. 所属分类:硬件开发

    • 发布日期:2020-07-06
    • 文件大小:22528
    • 提供者:ar_donghao
  1. 基于FPGA的8B10B编解码设计

  2. 摘要:为提高8B/10B编解码的工作速度和简化逻辑方法,提出一种基于FPGA的8B/10B编解码系统设计方案。与现有的8B/10...
  3. 所属分类:其它

    • 发布日期:2020-10-22
    • 文件大小:229376
    • 提供者:weixin_38629873