您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 常用电阻阻值表.pdf

  2. 常用电阻阻值表 精度为5%的碳膜电阻,以欧姆为单位的标称值: 1.0 5.6 33 160 820 3.9K 20K 100K 510K 2.7M 1.1 6.2 36 180 910 4.3K 22K 110K 560K 3M 1.2 6.8 39 200 1K 4.7K 24K 120K 620K 3.3M 1.3 7.5 43 220 1.1K 5.1K 27K 130K 680K 3.6M 1.5 8.2 47 240 1.2K 5.6K 30K 150K 750K 3.9M 1.6
  3. 所属分类:Java

    • 发布日期:2009-11-04
    • 文件大小:81920
    • 提供者:UnitePower
  1. win7简明封装教程

  2. Windows 7和Vista及XP封装差不多,Windows 7也是调用sysprep.exe,不同的是应答文件在封装前就录入,不会在系统部署的时候在读取sysprep.ini这个文件了。 一、把下载好的镜像刻录成DVD,系统安装就不说了,很简单 二、系统安装好了,首先启用ADMINISTRATOR帐户,启用方法: 1、旗舰版:可以直接右键计算机--管理---本地用户和组---用户---右键Administrator---属性----去掉“帐户已禁用”前的勾-确定,注销--ok 2、家庭版:
  3. 所属分类:C

    • 发布日期:2010-03-16
    • 文件大小:5120
    • 提供者:jeiky
  1. 清华微机原理 + 马洪兵 + 5.7M

  2. including 11ppt and all code 教学大纲 第1章微型计算机系统概述 第2章Pentium微处理器实模式软件体系结构 第3章指令系统与汇编语言 第4章汇编语言程序设计技术 第5章Pentium微处理器保护模式软件体系结构 第6章Pentium微处理器的硬件结构与接口 第7章存储器系统 第8章输入输出接口技术基础 第9章中断技术 第10章串行接口技术 第11章并行接口技术 第12章DMA技术 第13章模拟量的输入输出 第14章总线技术 第15章Pentium系列处理器的最
  3. 所属分类:硬件开发

    • 发布日期:2010-04-13
    • 文件大小:5242880
    • 提供者:hqioan
  1. 精简jre步骤 迷你jre制作过程

  2. 精简jre步骤 1. 拷贝一个完整版的jre文件夹到D盘 2. 删除jre目录下所有出bin和lib目录的所有文件或目录 3. 打开cmd窗口,设置path路径为空,转到D:\jre\bin目录,运行java –version。正常显示当前Java的版本。 4. 在bin目录中新建一个temp目录,将除java.exe和java.dll外的移到temp目录中 5. 再次运行java –version命令,提示没找到verify.dll。将temp目录中的verify.dll移到bin目录中 6
  3. 所属分类:Java

    • 发布日期:2010-06-25
    • 文件大小:9437184
    • 提供者:Thomastang2012
  1. spring培训学习笔记

  2. Spring项目启动于2003年2月,其中基础代码来自《expert one-on-one j2ee design and development》。Spring 是指一个用于构造Java 应用程序的轻量级框架,不限定于只编写web 应用,最少侵入。Spring 的核心是个轻量级(Lightweight)的容器(Container),它是实现IoC(Inversion of Control)容器、非侵入性(No intrusive)的框架,并提供AOP(Aspect-oriented prog
  3. 所属分类:Java

  1. 星际译王Stardict词库 完整打包下载 BT(700+m)

  2. 【Stardict的词库现在官方已经无法下载了, 网站上许多转载的词库下载都是直接连接官方之前公布的下载连接, 这些地址已经失效, 目前能直接下载到词库的只有少数的论坛或者FTP.】 星际译王(StarDict)在Linux, Windows, 甚至Mac OS X上都相应的版本, 因为其开源的缘故, 许多智能手机(iPhone, 黑莓, Maemo, Meego, Symbian, WM)都有移植版读取Stardict词库的手机词典. 这词库我自己本人在用的 ---------------部
  3. 所属分类:专业指导

    • 发布日期:2011-11-06
    • 文件大小:33792
    • 提供者:taian1hao
  1. Licence Manager 17.7M

  2. 适用于win7系统安装arcgis 9.3的时候使用
  3. 所属分类:其它

    • 发布日期:2012-06-13
    • 文件大小:17825792
    • 提供者:yysqty
  1. unix 高级编程(上)

  2. unix高级编程书。目录如下 第 1章 UNIX基础知识 477K 第 2章 UNIX标准化及实现 535K 第 3章 文件I/O 547K 第 4章 文件和目录 1.1M 第 5章 标准I/O库 538K 第 6章 系统数据文件和信息 351K 第 7章 UNIX进程的环境 597K 第 8章 进程控制 1.2M 第 9章 进程关系 562K 第10章 信号 1.6M 第11章 终端I/O 908K 第12章 高级I/O 1.3M 第13章 精灵进程 259K 第14章 进程间通信 1.1M
  3. 所属分类:网络攻防

    • 发布日期:2008-08-01
    • 文件大小:7340032
    • 提供者:zhuoer_bj
  1. Android SDK开发包国内官网下载地址.pdf

  2. Android SDK开发包国内官网下载地址.pdf 不知道是因为最近kaihui还是怎么的,打开android sdk官方网站特别的慢,想下载最新版本的platform几乎变成不可能完成的任务,不知道为什么Google不像Apache那样在各国设立镜像站。为了预防今后再出现这样的情况,这次干脆把android开发所需要的各种包总结一下,顺便提供本地下载链接,省得以后找起来麻烦。 通过分析SDK Manager里要用到的repository文件,我下载了目前google提供的各类安卓开发包并上
  3. 所属分类:Android

    • 发布日期:2013-03-24
    • 文件大小:681984
    • 提供者:sdaofeng
  1. svn-1.4.4-setup

  2. svn服务器端安装程序结合TortoiseSVN-1.7.12.24070-win32-svn-1.7.9.msi客户端,可和apache_2.0.63-win32-x86-openssl-0.9.7m.msi进行结合配置以http形式访问。
  3. 所属分类:Java

    • 发布日期:2013-04-25
    • 文件大小:3145728
    • 提供者:zhengdebuzhidao
  1. 通用thinkphp网站后台

  2. 基于thinkphp3.1.2的通用网站后台 本通用后台包含以下功能: 1、RBAC权限管理功能; 便捷地对系统中用户进行权限分配,所以权限分配可以在一个页面分配完成。 2、简单新闻发布版块; 基本的新闻发布、修改、删除。 3、无极限分类功能; 满足新闻分类等的无极限分类的功能,你可以根据实际情况修改。 4、每月自动备份功能; 管理员每个月第一次登陆后台,系统会后台自动进行当月数据备份功能。 5、备份、还原数据库,打包已备份sql文件 备份数据量大时,系统会自动分隔备份成多个sql文件,每个s
  3. 所属分类:PHP

    • 发布日期:2013-09-06
    • 文件大小:1048576
    • 提供者:yht0616
  1. thinkphp3.1.2 通用网站后台

  2. 本通用后台包含以下功能: 1、RBAC权限管理功能; 便捷地对系统中用户进行权限分配,所以权限分配可以在一个页面分配完成。 2、简单新闻发布版块; 基本的新闻发布、修改、删除。 3、无极限分类功能; 满足新闻分类等的无极限分类的功能,你可以根据实际情况修改。 4、每月自动备份功能; 管理员每个月第一次登陆后台,系统会后台自动进行当月数据备份功能。 5、备份、还原数据库,打包已备份sql文件 备份数据量大时,系统会自动分隔备份成多个sql文件,每个sql文件头部记录了当前sql文件包含了那些表数
  3. 所属分类:PHP

  1. openssl-0.9.7m.tar.gz

  2. Qt webkit 开发所用到的ssl库
  3. 所属分类:嵌入式

    • 发布日期:2013-11-13
    • 文件大小:3145728
    • 提供者:wlf201314201413
  1. apache_2.o.63

  2. apache_2.0.63-win32-x86-openssl-0.9.7m.msi
  3. 所属分类:其它

    • 发布日期:2013-11-15
    • 文件大小:4194304
    • 提供者:u012851237
  1. Lucene_in_ Action.pdf

  2. Lucene_in_ Action.pdf 9.7M
  3. 所属分类:Java

    • 发布日期:2007-04-10
    • 文件大小:9437184
    • 提供者:weigege007
  1. 114电话查询系统 可以用的试试看

  2. 114电话查询系统,一个很小的软件,大小9.7M,可以用的试试看
  3. 所属分类:专业指导

    • 发布日期:2008-10-22
    • 文件大小:9437184
    • 提供者:ayan042004
  1. apache_2.0.63-win32-x86-openssl-0.9.7m

  2. apache_2.0.63-win32-x86-openssl-0.9.7m
  3. 所属分类:Java

    • 发布日期:2008-12-20
    • 文件大小:4194304
    • 提供者:hurendao
  1. 中厚煤层综采工作面矿压显现特征研究

  2. 针对中厚煤层综采工作面来压特征不明确的问题,以鹿台山煤业2202工作面为基础,进行了矿压实测与分析,结果表明:2202工作面直接顶的初次来压步距平均为9. 7m,工作面基本顶的初次来压步距平均为21. 5m,周期来压步距平均为12. 5m。来压率先在工作面的中部发生,随后在两端发生,其来压强度较工作面中部较弱。观测结果对其他类似条件下中厚煤层工作面的开采具有一定的借鉴意义。
  3. 所属分类:其它

    • 发布日期:2020-04-18
    • 文件大小:199680
    • 提供者:weixin_38639615
  1. PandoraBox-ralink-R9.9.8-mt7621-newifi-3-squashfs-sysupgrade-鸡血驱动.bin

  2. 新3路由PandoraBox 19.09版某大神编译版本,带鸡血驱动,2.4G WIFI信号轻松跑满150M,下载5~7M/S
  3. 所属分类:Linux

    • 发布日期:2021-02-25
    • 文件大小:18874368
    • 提供者:applebomb
  1. Apache SSL服务器配置SSL详解

  2. 1.安装必要的软件 引用 我用的是apahce2.0.61版,可以直接官方提供的绑定openssl的apache. 文件名是:apache_2.0.61-win32-x86-openssl-0.9.7m.msi 否则单独安装windows下的openssl比较麻烦,要么找到一个第三方的编译结果,要么自己编译 2. 生成服务器证书 引用 安装好在bin目录下有一个 openssl.exe文件,用来生成证书和密钥。 1). 生成服务器用的私钥文件server.key 进入conf目录,执行命令行 o
  3. 所属分类:其它

    • 发布日期:2021-01-20
    • 文件大小:57344
    • 提供者:weixin_38607864
« 12 3 4 »