您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. STM32_Clcok_config

  2. 此文件是对STM32 CLK模块的一种总结。 对不了解此模块的朋友可以下来看一看。
  3. 所属分类:其它

    • 发布日期:2010-05-27
    • 文件大小:26624
    • 提供者:win2000_li
  1. a63822322的维修 RESET CLK的故障的说明

  2. 本资源为本人自己从搜刮而来 如果使用或不能解决你的问题 请留言把问题说清楚! 有空上线我会帮你解决! 本人其他资源请前往以下地址http://a63822322.download.csdn.net/
  3. 所属分类:专业指导

    • 发布日期:2010-08-27
    • 文件大小:20480
    • 提供者:a63822322
  1. MSP430-CLK,本人编写验证过,绝对正确

  2. MSP430-CLK,本人编写验证过,绝对正确。
  3. 所属分类:C

    • 发布日期:2011-11-04
    • 文件大小:2048
    • 提供者:keaideahu
  1. 50进制计数器

  2. 50进制计数器,异步置数,同步置位,CLK RST RET都是低电平触发,个位十位同时计数,带CY输出。代码中有解释,啥也不说了,都在WORD里了。
  3. 所属分类:硬件开发

    • 发布日期:2012-09-20
    • 文件大小:14336
    • 提供者:mehollove
  1. cLK+1.6.5DE+Recovery Beta8触控版

  2. 不用多说,HTC HD2官方刷机工具,很好,很强大!!
  3. 所属分类:Android

    • 发布日期:2013-02-15
    • 文件大小:14680064
    • 提供者:liugaobing
  1. uC-Clk-Manual

  2. uC-Clk-Manual的技术手册
  3. 所属分类:硬件开发

    • 发布日期:2013-04-11
    • 文件大小:342016
    • 提供者:yhdsxq
  1. DSP5509 CLK

  2. DSP5509 时钟分频计算 通过控制字怎样计算运行时钟
  3. 所属分类:其它

    • 发布日期:2013-06-01
    • 文件大小:138240
    • 提供者:u010916639
  1. 桌面数字钟CLK-V2.0原理图

  2. 自制桌面数字钟CLK-V2.0原理图,51单片机,已经做出来使用多年了
  3. 所属分类:硬件开发

    • 发布日期:2013-12-31
    • 文件大小:39936
    • 提供者:hualiming
  1. STM8_库函学习笔记之CLK

  2. STM8单片机库函数中的时钟CLK模块学习,有利于初学者了解stm8时钟模块
  3. 所属分类:C

    • 发布日期:2014-07-18
    • 文件大小:79872
    • 提供者:qq_17845839
  1. CLK和以太网跨网通讯

  2. CLK和以太网跨网通讯
  3. 所属分类:制造

    • 发布日期:2016-05-30
    • 文件大小:604160
    • 提供者:cqgkxj
  1. CLK按键.eww

  2. 按键控制流水灯的状态,通过中断控制状态的变化,然后在每种状态里用CLK 分频控制流水灯的频率,最后达到的效果就是按键按一下,变一种频率。
  3. 所属分类:C

  1. LED工作灯CLK 产品简介.pdf

  2. LED工作灯CLK 产品简介pdf,LED工作灯CLK 产品简介
  3. 所属分类:其它

    • 发布日期:2019-10-20
    • 文件大小:688128
    • 提供者:weixin_38743481
  1. 用VCXO (压控晶体振荡器)作为时钟(CLK)发生器

  2. 摘要:“VCXO” (压控晶体振荡器)是由晶体决定振荡频率的振荡器,可用控制电压在小范围内进行频率调整。VCXO时钟(CLK)发生器已在多种系统中得到应用,如数字电视,数字音频,ADSL和STB。此应用笔记介绍VCXO CLK发生器的结构,关键参数测量,PCB设计指南,以及对一个应用于MPEG2和AC-3音频设备的VCXO CLK发生器MAX9485的测试结果。   VCXO CLK发生器的结构和应用   “VCXO”,即压控晶体振荡器,其振荡频率由晶体决定,但可用控制电压在小范围内对频率进
  3. 所属分类:其它

    • 发布日期:2020-11-18
    • 文件大小:196608
    • 提供者:weixin_38652196
  1. 欧姆龙CLK支持板

  2. 介绍了关于欧姆龙CLK支持板的详细说明,提供PLC的技术资料的下载。
  3. 所属分类:其它

    • 发布日期:2021-03-18
    • 文件大小:3145728
    • 提供者:weixin_38570406
  1. clk:clk-一个小的二进制时钟-源码

  2. k 一个小的。 clk打印当前时间的。 例子 $ clk 在二进制时钟上显示当前时间 $ clk; date +"%H %M %S" 在二进制时钟上以标准六进制形式显示当前时间 安装 $ make # make install 卸载 # make uninstall
  3. 所属分类:其它

    • 发布日期:2021-03-11
    • 文件大小:2048
    • 提供者:weixin_42170790
  1. CLK.AspNetCoreLab:CLK.AspNetCoreLab是ASP.NET Core的功能范例-源码

  2. CLK.AspNetCoreLab ASP.NET核心实验室
  3. 所属分类:其它

    • 发布日期:2021-03-06
    • 文件大小:120832
    • 提供者:weixin_42178963
  1. clk:https:git.kernel.orgpubscmlinuxkernelgitclklinux.git的备份-源码

  2. clk:https:git.kernel.orgpubscmlinuxkernelgitclklinux.git的备份
  3. 所属分类:其它

    • 发布日期:2021-02-17
    • 文件大小:230686720
    • 提供者:weixin_42109732
  1. sys-clk-Editor:用于sys-clk配置的编辑器!-源码

  2. sys-clk-Editor:用于sys-clk配置的编辑器!
  3. 所属分类:其它

    • 发布日期:2021-02-04
    • 文件大小:63488
    • 提供者:weixin_42151772
  1. CLK:8位和16位平台的延迟潜伏模拟器:Acorn Electron,Amstrad CPC,Apple IIII + IIe和早期Macintosh,Atari 2600和ST,ColecoVision,Commodore Vic-20

  2. 时钟信号 时钟信号('CLK')是寻求游客隐形的模拟器。 用户可以直接启动经典软件,而无需模拟器或按机器学习的曲线。 macOS和源代码版本。 对于台式机Linux,它也可以作为。 在Mac上,它是本机可可和金属应用程序。 在Linux,BSD和其他UNIX和类似的UNIX下,它使用OpenGL,并且可以使用Qt或SDL进行构建。 该仿真器试图提供: 单击加载任何受支持平台的任何源媒体; 具有沉重的信号处理倾斜度,可准确再现原始输出; 同时最大程度地减少延迟。 当前包含以下内容的仿真
  3. 所属分类:其它

    • 发布日期:2021-02-03
    • 文件大小:23068672
    • 提供者:weixin_42138545
  1. sys-clk-Overlay:使用ovl-loader的sys-clk配置编辑器!-源码

  2. sys-clk-Overlay:使用ovl-loader的sys-clk配置编辑器!
  3. 所属分类:其它

    • 发布日期:2021-02-03
    • 文件大小:20480
    • 提供者:weixin_42137539
« 12 3 4 5 6 7 8 9 10 ... 36 »