您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. CPLD在DSP系统中的应用设计 CPLD在DSP系统中的应用设计

  2. CPLD在DSP系统中的应用设计 CPLD在DSP系统中的应用设计
  3. 所属分类:硬件开发

    • 发布日期:2011-04-01
    • 文件大小:111616
    • 提供者:Augusdi
  1. CPLD在电力系统微机保护装置中的应用

  2. 针对采用DSP和单片机设计的电力系统微机保护装置中DSP输入输出扩展口较少、电路设计复杂的问题,采用CPLD器件EPM7128设计了该微机保护装置的开入开出量扩展电路、握手信号电路、数据通信电路、频率测量电路等逻辑电路,着重介绍了CPLD在双CPU通信中的设计与实现。仿真结果验证了CPLD逻辑电路的正确性;在微机保护装置中的应用也表明了CPLD逻辑电路的灵活性与稳定性。
  3. 所属分类:其它

    • 发布日期:2020-07-17
    • 文件大小:1048576
    • 提供者:weixin_38614417
  1. G.723.1在DSP数字对讲机基带系统中的应用

  2. 实现G.723.1语音压缩编码在数字对讲机基带系统的应用。充分利用了DSP的处理能力以及CPLD硬件上的高速、高集成度和可编程性进行硬件电路设计,在对讲机频带和DSP资源有限的条件下,对G.723.1的定点C代码进行深度优化。
  3. 所属分类:其它

    • 发布日期:2020-07-23
    • 文件大小:101376
    • 提供者:weixin_38640985
  1. CPLD在DSP多分辨率图像采集系统中的应用

  2. 设计了一种基于CPLD和DSP器件的多分辨率图像采集处理系统,重点介绍了CPLD在采集过程中逻辑控制的灵活应用。
  3. 所属分类:其它

    • 发布日期:2020-08-10
    • 文件大小:173056
    • 提供者:weixin_38707356
  1. CPLD在TMS320F2812系统中的应用

  2. TMS320F2812是美国德州仪器公司推出的C2000 家族中最新一代产品。先进的内部和外设结构使得该处理器主要用于大存储设备管理、高性能的控制场合。在F2812构成的应用系统中,需要设计一些逻辑控制电路来保证系统正常有序地工作。这里,我们采用CPLD 来设计外围电路的译码及逻辑控制,使DSP 系统达到小型化、集成化和高可靠性。
  3. 所属分类:其它

    • 发布日期:2020-08-31
    • 文件大小:192512
    • 提供者:weixin_38626192
  1. DSP中的应用CPLD和DSP的人机接口模块设计

  2. 路面剪切实验机是用于测量路面结构层与路面层之间剪切力的一种试验仪器,市面已有的成熟产品,大多以单片机为控制核心器件,无法实现精确测量的目的。DSP作为新型控制器,早已有很多成熟的应用,尤其是其中的LF2407A特别适合电动机控制并能实现数据采集等功能。   介绍一种基于CPLD和TMS320LF2407A型DSP的人机接口模块应用系统,这种系统在新型路面剪切实验机上得到了较好的应用,能够很好地实现数据采集、电动机调速等目的。以CPLD为桥梁,实现快速DSP和慢速外设的接口模块设计,并给出其硬件电
  3. 所属分类:其它

    • 发布日期:2020-10-23
    • 文件大小:139264
    • 提供者:weixin_38694674
  1. CPLD在DSP多分辨率图像采集系统中的应用

  2. 本文设计了一种基于CPLD的多分辨率图像采集系统,本文作者创新点:提出一种由CPLD控制图像的行、场信号延时,奇偶数据分离存储来得到不同分辨率图像数据的方法,实现了不占用DSP资源的多分辨率图像的实时采集。经过大量仿真和电路板调试,证明该方案灵活有效,能够在工业监测、医疗诊断等图像实时采集领域得到广泛应用。
  3. 所属分类:其它

    • 发布日期:2020-10-22
    • 文件大小:90112
    • 提供者:weixin_38580959
  1. CPLD在双轴位置检测系统中的应用

  2. 在现代数控系统中,采用 CPLD实现位置检测系统已成为主流。新一代CPLD产品MAX II EPM570以面积小、集成度高和 GPIO口多等特点使得电路板集成度和抗干扰性都得以提高,方便结合DSP控制伺服电机位臀。总之,CPLD器件的发展使得逻辑电子电路的设计更灵活、方便。它将推动数控机床甚至工业各领域的发展。
  3. 所属分类:其它

    • 发布日期:2020-10-22
    • 文件大小:858112
    • 提供者:weixin_38709816
  1. 基于DSP+CPLD的交流电机调速在水处理控制中的应用

  2. 生化反应池在水处理过程中非常重要。需要通过调整风机的转速控制反应池中的DO值。理论上应该通过调节电动机的转速来实现,但实际上却是利用挡板阀门后者放空的方法进行调节。这种方法极大地浪费了电力资源。以美国TI公司推出的TMS320LF2407为代表的面向电机控制的高性能数字信号处理可以对电机进行精确控制,大大提高了交流电机的性能,能够设计出性能优良的控制系统。
  3. 所属分类:其它

    • 发布日期:2020-10-22
    • 文件大小:219136
    • 提供者:weixin_38625192
  1. CPLD与16C554在航空发动机参数采集器中的应用

  2. 通过16C554扩展串口,其硬件接口电路简单;复杂可编程器件CPLD的使用,将DSP较强的逻辑控制、数据处理能力以高集成度、高可靠性、高速度有机地结合起来,从而使设计的系统具有较高的性能价格比,设计成本和风险大大降低。
  3. 所属分类:其它

    • 发布日期:2020-10-19
    • 文件大小:244736
    • 提供者:weixin_38619967
  1. CPLD与16C554在航空发动机参数采集器中的应用

  2. 通过16C554扩展串口,其硬件接口电路简单;复杂可编程器件CPLD的使用,将DSP较强的逻辑控制、数据处理能力以高集成度、高可靠性、高速度有机地结合起来,从而使设计的系统具有较高的性能价格比,设计成本和风险大大降低。
  3. 所属分类:其它

    • 发布日期:2020-10-19
    • 文件大小:262144
    • 提供者:weixin_38722874
  1. EDA/PLD中的基于CPLD 的多路数据采集系统的设计

  2. 摘 要:随着数字化生活的到来, 数据采集系统在日常生活中的应用越来越显着。模拟信号和数字信号之间的转换已成为计算机控制系统中不可缺少的环节。较传统数据采集系统, 以可编程逻辑器件实现的数据采集系统具有时钟频率高,内部延时小, 速度快, 效率高, 组成形式灵活等特点。   数据采集系统具有极强的通用性, 可广泛应用于军事、工业生产、科学研究和日常生活中。随着计算机的普及, 数据采集系统在日常生活中的应用越来越显着。   由于基于DSP 芯片的高速电子器件成本和制作工艺,以及高密集的技术含量,
  3. 所属分类:其它

    • 发布日期:2020-11-04
    • 文件大小:289792
    • 提供者:weixin_38506138
  1. EDA/PLD中的基于CPLD的TMS320F2812硬件平台设计

  2. 1 引言   TMS320F2812是美国德州仪器公司推出的C2000 家族中最新一代产品。先进的内部和外设结构使得该处理器主要用于大存储设备管理、高性能的控制场合。在F2812构成的应用系统中,需要设计一些逻辑控制电路来保证系统正常有序地工作。这里,我们采用CPLD 来设计外围电路的译码及逻辑控制,使DSP 系统达到小型化、集成化和高可靠性。   2 CPLD在TMS320F2812系统中的应用   2.1 TMS320F2812介绍   TMS320F2812 数字信号处理器是在F2
  3. 所属分类:其它

    • 发布日期:2020-11-02
    • 文件大小:193536
    • 提供者:weixin_38711778
  1. EDA/PLD中的基于可编程逻辑在数字信号处理系统中的应用

  2. 1 引言   随着半导体技术的发展,可编程逻辑器件在结构、工艺、集成度、功能、速度和灵活性等方面有了很大的改进和提高,从而为高效率、高质量、灵活地设计数字系统提供了可靠性。CPLD或FPGA技术的出现,为DSP系统的设计又提供了一种崭新的方法。利用CPLD或FPGA设计的DSP系统具有良好的灵活性和极强的实时性。同时,其价格又可以被大众接受。由于乘法器在数字信号处理系统中具有广泛的应用,所以本文以乘法器的处理系统中具有广泛的应用,所以本文以乘法器的设计为例,来说明采用可编程逻辑器件设计数字系统
  3. 所属分类:其它

    • 发布日期:2020-11-12
    • 文件大小:89088
    • 提供者:weixin_38675465
  1. EDA/PLD中的可编程逻辑在数字信号处理系统中的应用

  2. 摘要:介绍了可编程逻辑器件在数字信号处理系统中的应用。并运用VHDL语言对采用Lattice公司的ispLSI1032E可编程逻辑器件所构成的乘法器的结构、原理及各位加法器的VHDL作了详细的描述。该乘法器的是大特点是节省芯片资源,而且其运算速度取决于输入的时钟频率。   1、引言   随着半导体技术的发展,可编程逻辑器件在结构、工艺、集成度、功能、速度和灵活性等方面有了很大的改进和提高,从而为高效率、高质量、灵活地设计数字系统提供了可靠性。CPLD或FPGA技术的出现,为DSP系统的设计又
  3. 所属分类:其它

    • 发布日期:2020-11-07
    • 文件大小:81920
    • 提供者:weixin_38721119
  1. 单片机与DSP中的DSP+FPGA结构在雷达模拟系统中的应用

  2. 摘要:本文介绍了DSP和FPGA在数字电子设计中的优势,并结合雷达模拟系统的硬件设计实例,重点阐述了相应的硬件与软件实现方法。  关键词:DSP  FPGA  数字电路设计  雷达模拟系统 1 引言  随着信息技术革命的深入和计算机技术的飞速发展,低速、低可靠性的单片机以及小规模的集成电路已经越来越不能满足需要,正逐渐被DSP与可编程逻辑器件(如FPGA、CPLD)所取代。一方面,数字信号处理技术逐渐地发展成为一门关键的技术学科,而DSP芯片由于集成度高、运算速度快、性能稳定等特点,极大地促进
  3. 所属分类:其它

    • 发布日期:2020-12-01
    • 文件大小:96256
    • 提供者:weixin_38691055
  1. 可编程逻辑器件在数字系统中的应用

  2. 摘要:介绍了可编程逻辑器件在数字信号处理系统中的应用。并运用VHDL语言对采用Lattice公司的ispLSI1032E可编程逻辑器件所构成的乘法器的结构、原理及各位加法器的VHDL作了详细的描述。该乘法器的是大特点是节省芯片资源,而且其运算速度取决于输入的时钟频率。 1引言随着半导体技术的发展,可编程逻辑器件在结构、工艺、集成度、功能、速度和灵活性等方面有了很大的改进和提高,从而为高效率、高质量、灵活地设计数字系统提供了可靠性。CPLD或FPGA技术的出现,为DSP系统的设计又提供了一种崭新的
  3. 所属分类:其它

    • 发布日期:2021-02-03
    • 文件大小:89088
    • 提供者:weixin_38685694
  1. CPLD在电力电子控制系统中的应用

  2. 为了实现PWM通道数的扩展,提出了一种基于DSP和CPLD的控制器的设计方案,并完成了系统的软硬件设计。该系统的硬件部分主要完成DSP与CPLD之间的数据传输接口设计,软件部分主要采用Verilog语言对CPLD进行编程,能够完成PWM通道数的扩展。实际应用表明,该方案具有操作简便,实时性高的特点,达到设计的要求。
  3. 所属分类:其它

    • 发布日期:2021-01-29
    • 文件大小:693248
    • 提供者:weixin_38570519
  1. 基于可编程逻辑在数字信号处理系统中的应用

  2. 1 引言   随着半导体技术的发展,可编程逻辑器件在结构、工艺、集成度、功能、速度和灵活性等方面有了很大的改进和提高,从而为高效率、高质量、灵活地设计数字系统提供了可靠性。CPLD或FPGA技术的出现,为DSP系统的设计又提供了一种崭新的方法。利用CPLD或FPGA设计的DSP系统具有良好的灵活性和极强的实时性。同时,其价格又可以被大众接受。由于乘法器在数字信号处理系统中具有广泛的应用,所以本文以乘法器的处理系统中具有广泛的应用,所以本文以乘法器的设计为例,来说明采用可编程逻辑器件设计数字系统
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:97280
    • 提供者:weixin_38689551
  1. 可编程逻辑在数字信号处理系统中的应用

  2. 摘要:介绍了可编程逻辑器件在数字信号处理系统中的应用。并运用VHDL语言对采用Lattice公司的ispLSI1032E可编程逻辑器件所构成的乘法器的结构、原理及各位加法器的VHDL作了详细的描述。该乘法器的是大特点是节省芯片资源,而且其运算速度取决于输入的时钟频率。   1、引言   随着半导体技术的发展,可编程逻辑器件在结构、工艺、集成度、功能、速度和灵活性等方面有了很大的改进和提高,从而为高效率、高质量、灵活地设计数字系统提供了可靠性。CPLD或FPGA技术的出现,为DSP系统的设计又
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:84992
    • 提供者:weixin_38700320
« 12 3 4 5 »