您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于verilog HDL的IIC 通信代码

  2. 这是一个基于硬件描述语言的IIC通信代码,实现了FPGA与外围设置的IIC通信,可以用于DE2或DE2_115上。
  3. 所属分类:硬件开发

    • 发布日期:2014-03-19
    • 文件大小:9216
    • 提供者:jellyyy