您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. EDA/PLD中的基于FPGA的数字式心率计

  2. 摘要:介绍了一种基于现场可编程门阵列(FPGA)的数字式心率计。该仪器采用FPGA和VHDL语言实现时钟分频、波形变换、心率测量、告警控制及数码转换等功能,能够实时测量瞬时心率和平均心率,并能提供心率异常告警信息。该仪器使用元器件数量少、工作稳定可靠、显示直观,测量范围为20~200跳/分钟,测量误差小于1%。      关键词:心率计 瞬时心率 平均心率 FPGA VHDL语言 心率计是常用的医学检查设备,实时准确的心率测量在病人监控、临床治疗及体育竞赛等方面都有着广泛的应用。心率测量包
  3. 所属分类:其它

    • 发布日期:2020-12-13
    • 文件大小:99328
    • 提供者:weixin_38604916