您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. EDA/PLD中的怎样实现Verilog模拟PS2协议

  2. PS2协议读键盘值相当简单嘛,比模拟SPI、I2C简单多了...下面介绍一下具体过程.   1.明确接线关系,只需接4根线,VCC要+5V,3.3我测试过不能用,时钟和数据线要用bidir双向口线,FPGA可以不用外接上拉电阻。另外,USB键盘也可以用,只要用一个转接头转成PS2即可。   2.读取基本的键盘数据,不需要FPGA发送任何数据,只需读取键盘发回来的数据即可   如下面的时序图,每次键盘发送11个clock信号,我们需要做的事情就是在时钟的下降沿读取数据   3.
  3. 所属分类:其它

    • 发布日期:2020-11-07
    • 文件大小:139264
    • 提供者:weixin_38673237