您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. EDA/PLD中的EDA中的交通控制器的系统设计方案

  2. 交通控制器拟由单片的CPLD/FPGA来实现,经分析设计要求,拟定整个系统由9个单元电路组成,如图所示。   如图 交通控制器的内部逻辑结构原理图   图中9个单元电路分别为交通灯控制器JTDKZ:根据主、支干道传感器信号SM、SB以及来自时基发生电路的时钟信号CLK,发出主、支干道指示灯的控制信号,同时向各定时单元、显示控制单元发出使能控制信号EN45、EN25、EN05M、EN05B;45 s、5 s、25 s定时单元CNT45S、CNT05S、CNT25S:根据SM、SB、CLK及
  3. 所属分类:其它

    • 发布日期:2020-11-16
    • 文件大小:95232
    • 提供者:weixin_38614636
  1. EDA/PLD中的基于EDA的交通灯控制系统作

  2. 引言     EDA技术是用于电子产品设计中比较先进的技术,可以代替设计者完成电子系统设计中的大部分工作,而且可以直接从程序中修改错误及系统功能而不需要硬件电路的支持,既缩短了研发周期,又大大节约了成本,受到了电子工程师的青睐。      实现路口交通灯系统的控制方法很多,可以用标准逻辑器件、可编程序控制器PLC、单片机等方案来实现。但是这些控制方法的功能修改及调试都需要硬件电路的支持,在一定程度上增加了功能修改及系统调试的困难。因此,在设计中采用EDA技术,应用目前广泛应用的VHDL硬件电路描
  3. 所属分类:其它

    • 发布日期:2020-12-10
    • 文件大小:75776
    • 提供者:weixin_38651365
  1. EDA/PLD中的基于VHDL的交通灯控制器设计

  2. 应用VHDL语言设计数字系统,大部分设计工作可在计算机上完成,从而缩短系统开发时间,提高工作效率。下面介绍基于VHDL设计交通灯控制器的一种方案,并给出源程序和仿真结果。 1 系统功能与要求 交通灯控制器控制两个主干道交叉路口的交通,路口车辆多,直行信号、左转弯信号分开显示,a,b两个主干道的通行时间相等,其中指示直行的绿灯亮30 s,指示左转弯的绿灯亮12 s,绿灯变至红灯时,黄灯亮3 s,以便于车辆能停在停车线内,红灯信号的最后3 s相应的黄灯也同时亮,以便提示驾驶人员准备起步。在两个
  3. 所属分类:其它

    • 发布日期:2020-12-09
    • 文件大小:239616
    • 提供者:weixin_38740827
  1. EDA/PLD中的基于EDA的交通灯控制系统

  2. 引言     EDA技术是用于电子产品设计中比较先进的技术,可以代替设计者完成电子系统设计中的大部分工作,而且可以直接从程序中修改错误及系统功能而不需要硬件电路的支持,既缩短了研发周期,又大大节约了成本,受到了电子工程师的青睐。      实现路口交通灯系统的控制方法很多,可以用标准逻辑器件、可编程序控制器PLC、单片机等方案来实现。但是这些控制方法的功能修改及调试都需要硬件电路的支持,在一定程度上增加了功能修改及系统调试的困难。因此,在设计中采用EDA技术,应用目前广泛应用的VHDL硬件电路描
  3. 所属分类:其它

    • 发布日期:2020-12-13
    • 文件大小:158720
    • 提供者:weixin_38686041