您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. EDA/PLD中的基于FPGA的三线制同步串行通信控制器设计

  2. 摘  要: 为了简化应用系统中的三线制同步串行通信扩展接口,减小系统体积,降低系统功耗,通过研究三线制同步串行通信的原理,利用FPGA,结合硬件描述语言VHDL,设计了三线制同步串行通信控制器功能框架结构,介绍了各组成模块的功能及工作过程,并对该控制器IP核的接口信号进行了详细描述与定义,最后在Xilinx ISE和ModelSim SE平台下对该控制器IP核进行了综合和功能仿真。   同步串行通信在航天工程领域中有着广泛的应用,其中,三线制同步串行通信以其连线少、操作方便、通信速度快等特点,
  3. 所属分类:其它

    • 发布日期:2020-11-04
    • 文件大小:297984
    • 提供者:weixin_38553381
  1. EDA/PLD中的VHDL-AMS在控制系统分析与设计中的应用

  2. 1 引 言   EDA是现代电子系统设计的关键技术。硬件描述语言VHDL以其“代码复用”(code re-use)远高于传统的原理图输入法等诸多优点,逐渐成为EDA技术中主要的输入工具。然而,基于IEEE VHDL Std 1076-1993标准的VHDL只用于描述数字电路。因而从理论的完整性和方法的统一性方面来说,这无疑是一个缺点。为此,IEEE于1999年发布了IEEE VHDL Std1076.1标准,扩展了VHDL对模拟电路及混合信号系统的描述和仿真能力。1076标准和1076.1标准
  3. 所属分类:其它

    • 发布日期:2020-11-10
    • 文件大小:163840
    • 提供者:weixin_38674124
  1. EDA/PLD中的基于FPGA的数字秒表的设计

  2. 应用VHDL语言设计数字系统,很多设计工作可以在计算机上完成,从而缩短了系统的开发时间,提高了工作效率。本文介绍一种以FPGA为核心,以VHDL为开发工具的数字秒表,并给出源程序和仿真结果。   1 系统设计方案   1.1 系统总体框图   数字秒表主要有分频器、计数模块、功能控制模块、势能控制模块和显示输出模块组成。系统框图如图1所示。   本次的设计仿真选用以EPlC6Q240芯片为核心的FPGA开发板,该开发板提供了较完善的外围周边电路和信号接口,并提供了一块4位7段数码
  3. 所属分类:其它

    • 发布日期:2020-11-09
    • 文件大小:342016
    • 提供者:weixin_38741195
  1. EDA/PLD中的基于FPGA 的MSK 调制解调器设计与应用

  2. 摘要:提出了一种基于FPGA 的数字MSK 调制解调器设计方法,应用VHDL 语言进行了模块设计和时序仿真。硬件部分在Altera 公司 EP2C15AF256C8N FPGA 上实现。结果表明,数字MSK调制解调器具有相位连续,频带利用率高的优点。   数字调制解调器在点对点的数据传输中得到了广泛的应用。通常的二进制数字调制解调器是建立在模拟载波上的,在电路实现时需要模拟信号源,这会给全数字应用场合带来不方便。本文分析了MSK(最小频移键控)数字调制信号特征,提出一种全数字固定数据速率MSK
  3. 所属分类:其它

    • 发布日期:2020-11-09
    • 文件大小:188416
    • 提供者:weixin_38711369
  1. EDA/PLD中的采用VHDL设计的全数字锁相环电路设计

  2. 摘要:叙述了全数字锁相环的工作原理,提出了应用VHDL 技术设计全数字锁相环的方法,并用复杂可编程逻辑器件CPLD 予以实现,给出了系统主要模块的设计过程和仿真结果。   0  引言   全数字锁相环(DPLL) 由于避免了模拟锁相环存在的温度漂移和易受电压变化影响等缺点。从而具备可靠性高、工作稳定、调节方便等优点。在调制解调、频率合成、FM立体声解码、图像处理等各个方面得到广泛的应用。随着电子设计自动化(EDA) 技术的发展,采用大规模可编程逻辑器件(如CPLD 或FPGA) 和VHDL
  3. 所属分类:其它

    • 发布日期:2020-11-09
    • 文件大小:297984
    • 提供者:weixin_38601390
  1. EDA/PLD中的基于FPGA“乒乓球比赛游戏机”的设计

  2. 可编程逻辑器件FPGA以其开发周期短、成本低、功耗低、可靠性高等优势,广泛应用于通信、航空、医疗等领域,近年来在消费电子领域中的应用也日渐增加。为进一步挖掘FPGA在家庭娱乐如游戏机开发与应用中的巨大商机,介绍了一款以Altera公司FP-GA芯片为控制核心,附加少量外围电路组成的乒乓球比赛游戏机。整个系统设计模块划分清晰:包括裁判端、选手端、控制端、显示端及模拟乒乓球台;功能齐全:包括发球权控制、犯规提示、局数比分显示等,模拟实际乒乓球比赛相似程度高。采用了VHDL语言编程实现,在Quartu
  3. 所属分类:其它

    • 发布日期:2020-11-09
    • 文件大小:591872
    • 提供者:weixin_38563552
  1. EDA/PLD中的基于VHDL语言的按键消抖电路设计及仿真

  2. 按键开关是电子设备实现人机对话的重要器件之一。由于大部分按键是机械触点,在触点闭合和断开时都会产生抖动。为避免抖动引起误动作造成系统的不稳定,就要求消除按键的抖动,确保按键每按一次只做一次响应。随着可编程逻辑器件的综合性能的不断提高,它已经象单片机一样。广泛应用在各种数字逻辑领域。用可编程逻辑器件直接获取键盘信息也得到广泛的应用。这里提出用VHDL语言编程的有限状态机的设计方法来实现按键的消抖,经仿真分析和下载实现,这种方法设计的消抖电路能够很好地实现电路功能,进行快速按键时都能保证每按一次做一
  3. 所属分类:其它

    • 发布日期:2020-11-08
    • 文件大小:157696
    • 提供者:weixin_38617602
  1. EDA/PLD中的基于CPLD的数据采集与显示接口电路仿真设计

  2. 1 引言   CPLD称为复杂可编程逻辑设计芯片,它是大规模可编程器件,具有高集成度、高可靠性、高速度的特点。CPLD是利用EDA技术进行电子系统设计的载体。硬件描述语言是EDA技术进行电子系统设计的主要表达手段,VHDL语言是常用的硬件描述语言之一;软件开发工具是利用EDA技术进行电子系统设计的智能化的自动化设计工具,常用开发工具有QuartusⅡ,Ispexpert,Foundation等。CPLD以高速、高可靠性、串并行工作方式等特点在电子设计中广泛应用。它打破了软硬件之间的界限,加速了
  3. 所属分类:其它

    • 发布日期:2020-11-07
    • 文件大小:195584
    • 提供者:weixin_38689976
  1. EDA/PLD中的基于VHDL 的矩阵键盘及显示电路设计

  2. 摘 要:为了有效防止机械式键盘按键抖动带来的数据错误,这里在Quartus Ⅱ开发环境下,采用VHDL 语言设计了一种能够将机械式4 ×4 矩阵键盘的按键值依次显示到8 个7 段数码管上的矩阵键盘及显示电路。仿真结果表明,所设计的矩阵键盘及显示电路成功地实现了按键防抖和按键数据的准确显示。以ACEX1K系列EP1K30QC208 芯片为硬件环境,验证了各项设计功能的正确性。   FPGA/ CPLD 在数字系统设计中的广泛应用,影响到了生产生活的各个方面。在FPGA/ CPLD 的设计开发中,
  3. 所属分类:其它

    • 发布日期:2020-11-19
    • 文件大小:535552
    • 提供者:weixin_38724919
  1. EDA/PLD中的EDA典型单元电路的异步计数器

  2. 异步计数器又称行波计数器,它的下一位计数器的输出作上一位计数器的时钟信号,一级一级串行连接起来就构成了一个异步计数器。异步计数器与同步计数器不同之处就在于时钟脉冲的提供方式,但是,由于异步计数器采用行波计数,从而使计数延迟增加,在要求延迟小的领域受到了很大限制。尽管如此,由于它的电路简单,仍有广泛的应用。   【例】用VHDL语言设计一个由8个触发器构成的8位二进制异步计数器,并使用MAX+p1us Ⅱ进行仿真。   仿真结果如图所示。   如图 8位二进制异步计数器RPL
  3. 所属分类:其它

    • 发布日期:2020-11-16
    • 文件大小:245760
    • 提供者:weixin_38563552
  1. EDA/PLD中的VHDL语言在EDA仿真中的应用

  2. 摘 要:介绍了VHDL语言及其基本特点,讨论了VHDL语言在EDA中的诸多优点,并以交通信号灯主控制电路的设计为例,说明了用VHDL语言设计数字电路的方法以及VHDL语言在数字电路设计仿真中的重要作用,给出了交通信号灯主控制电路的时序仿真波形。仿真结果表明VHDL语言应用于数字电路仿真是切实可行的,在跟踪性和快速性方面达到了令人满意的效果。  关键词:VHDL;仿真;EDA;数字电路   随着电子技术的发展,数字系统的设计正朝高速度、大容量、小体积的方向发展,传统的自 底而上的设计方法已难以
  3. 所属分类:其它

    • 发布日期:2020-12-01
    • 文件大小:193536
    • 提供者:weixin_38717574
  1. EDA/PLD中的FCSR原理及其VHDL语言的实现

  2. 摘 要:伪随机序列发生器是序列密码设计中的重要环节,FCSR是其中一类重要思想。本文介绍了FCSR的特性和产生方法,并用VHDL语言予以实现,给出FCSR序列的主程序和仿真波形,最后指出需要注意的问题。  关键词:进位移位寄存器;l-序列;VHDL;FCSR序列   伪随机信号在雷达、遥控、遥测、通信加密和无线电测量系统领域有着广泛的应用,其产生方法有多种途径。进位反馈移位寄存器(feedbackwithcarryshiftregiste,FCSR)由Klapper和Goresky于1993
  3. 所属分类:其它

    • 发布日期:2020-12-01
    • 文件大小:178176
    • 提供者:weixin_38738783
  1. EDA/PLD中的基于EDA的交通灯控制系统作

  2. 引言     EDA技术是用于电子产品设计中比较先进的技术,可以代替设计者完成电子系统设计中的大部分工作,而且可以直接从程序中修改错误及系统功能而不需要硬件电路的支持,既缩短了研发周期,又大大节约了成本,受到了电子工程师的青睐。      实现路口交通灯系统的控制方法很多,可以用标准逻辑器件、可编程序控制器PLC、单片机等方案来实现。但是这些控制方法的功能修改及调试都需要硬件电路的支持,在一定程度上增加了功能修改及系统调试的困难。因此,在设计中采用EDA技术,应用目前广泛应用的VHDL硬件电路描
  3. 所属分类:其它

    • 发布日期:2020-12-10
    • 文件大小:75776
    • 提供者:weixin_38651365
  1. EDA/PLD中的一种可编程的全数字锁相环路的实现

  2. 摘要:介绍了一种基于FPGA可编程技术实现的用于无线通信实验系统的全数字锁相环路。详细叙述了其工作原理、工作性能、电路实现和仿真结果。 关键词:FPGA 全数字锁相环路 VHDL语言锁相环路已在模拟和数字通信及无线电电子学等各个领域中得到了极为广泛的应用,特别是在数字通信的调制解调和位同步中常常要用到各种各样的锁相环。锁相就是利用输入信号与输出信号之间的相位误差自动调节输出相位使之与输入相位一致,或保持一个很小的相位差。最初的锁相环全部由模拟电路组成,随着大规模、超高速数字集成电路的发展及
  3. 所属分类:其它

    • 发布日期:2020-12-10
    • 文件大小:91136
    • 提供者:weixin_38719702
  1. EDA/PLD中的VGA图像控制器的CPLD/FPGA设计与实现

  2. 摘 要: 本文介绍了一种利用可编程器件CPLD/FPGA实现VGA图像控制器的VHDL设计方案,并给出了一些重要模块的VHDL源程序。 关键词: VGA;VHDL;CPLD/FPGA;仿真综合;EDA 现代电子设计技术的核心是EDA技术。EDA技术依赖功能强大的计算机,在EDA软件工具平台上,以硬件描述语言VHDL为系统逻辑描述的主要手段完成系统设计。 利用可编程器件CPLD/FPGA实现VGA彩色显示控制器在工业现场中有许多实际应用。以硬件描述语言VHDL对可编程器件进行功能模块设计、仿真综合
  3. 所属分类:其它

    • 发布日期:2020-12-09
    • 文件大小:82944
    • 提供者:weixin_38625559
  1. EDA/PLD中的基于VHDL的交通灯控制器设计

  2. 应用VHDL语言设计数字系统,大部分设计工作可在计算机上完成,从而缩短系统开发时间,提高工作效率。下面介绍基于VHDL设计交通灯控制器的一种方案,并给出源程序和仿真结果。 1 系统功能与要求 交通灯控制器控制两个主干道交叉路口的交通,路口车辆多,直行信号、左转弯信号分开显示,a,b两个主干道的通行时间相等,其中指示直行的绿灯亮30 s,指示左转弯的绿灯亮12 s,绿灯变至红灯时,黄灯亮3 s,以便于车辆能停在停车线内,红灯信号的最后3 s相应的黄灯也同时亮,以便提示驾驶人员准备起步。在两个
  3. 所属分类:其它

    • 发布日期:2020-12-09
    • 文件大小:239616
    • 提供者:weixin_38740827
  1. EDA/PLD中的基于FPGA的音频处理芯片的设计

  2. 摘 要:提出一种采用现场可编程门阵列器件FPGA实现音频处理芯片的方案。首先对FIR滤波器的算法进行了改良,然后采用VHDL语言对音频处理芯片的每个模块分别设计。最后通过计算机软件对该芯片进行仿真,给出仿真波形和仿真结果,证明本芯片的设计达到了预期要求。   关键词:FPGA FIR数字滤波器 音频处理 VHDL 1 引言 随着数字技术日益广泛的应用,以现场可编程门阵列FPGA(Field Programmable Gate Array)[1]为代表的ASIC[2]器件得到了迅速的普及和
  3. 所属分类:其它

    • 发布日期:2020-12-06
    • 文件大小:107520
    • 提供者:weixin_38528180
  1. EDA/PLD中的基于FPGA的TDI-CCD时序电路的设计

  2. 摘要:为解决TDI-CCD作为遥感相机的图像传感器在使用中所面临的时序电路设计问题,文中较为详细地介绍了TDI-CCD的结构和工作原理,并根据工程项目所使用的IL-E2 TDI-CCD的特性,设计了一种基于现场可编程门阵列 (FPGA) 的TDI-CCD时序电路,其驱动时序使用标准的硬件描述语言VHDL编写,时序仿真的波形效果相当理想。工程应用的结果表明,该设计具有一定的先进性和实用性。   关键词:TDI;FPGA ;VHDL;驱动时序 1  引言   CCD(Charge Couple
  3. 所属分类:其它

    • 发布日期:2020-12-05
    • 文件大小:95232
    • 提供者:weixin_38662213
  1. EDA/PLD中的基于EDA的交通灯控制系统

  2. 引言     EDA技术是用于电子产品设计中比较先进的技术,可以代替设计者完成电子系统设计中的大部分工作,而且可以直接从程序中修改错误及系统功能而不需要硬件电路的支持,既缩短了研发周期,又大大节约了成本,受到了电子工程师的青睐。      实现路口交通灯系统的控制方法很多,可以用标准逻辑器件、可编程序控制器PLC、单片机等方案来实现。但是这些控制方法的功能修改及调试都需要硬件电路的支持,在一定程度上增加了功能修改及系统调试的困难。因此,在设计中采用EDA技术,应用目前广泛应用的VHDL硬件电路描
  3. 所属分类:其它

    • 发布日期:2020-12-13
    • 文件大小:158720
    • 提供者:weixin_38686041
  1. EDA/PLD中的基于EDA的交通灯控制系统 (图)

  2. Traffic light control system based on EDA 摘 要:本设计中选用目前应用较广泛的VHDL硬件电路描述语言,实现对路口交通灯系统的控制器的硬件电路描述,在Altera公司的EDA软件平台MAX+PLUSⅡ环境下通过了编译、仿真,并下载到CPLD器件上进行编程制作,实现了交通灯系统的控制过程。关键词:EDA;VHDL;控制器;CPLD 引言---EDA技术是用于电子产品设计中比较先进的技术,可以代替设计者完成电子系统设计中
  3. 所属分类:其它

    • 发布日期:2020-12-13
    • 文件大小:106496
    • 提供者:weixin_38614377
« 12 »