您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. EDA交通灯实验程序

  2. 具体程序,可供参考!可以运行。 一些报告的步骤可以参考,
  3. 所属分类:交通

    • 发布日期:2009-05-05
    • 文件大小:4096
    • 提供者:lajibao
  1. EDA交通灯实验源代码

  2. 1.通过实验了解EDA的作用。 2.熟悉Max+plusⅡ软件的使用方法,并能熟悉使用原理图输入设计法和VHDL文 本输入设计法进行设计。 3.掌握功能分割的方法。 4.熟悉交通灯的工作原理。
  3. 所属分类:交通

    • 发布日期:2009-05-20
    • 文件大小:3072
    • 提供者:kjlm220022
  1. EDA实验 交通灯设计

  2. 东西南北四个方向各有一组红绿黄指示灯和两个数码管,东西方向和南北方向交替允许通道,当西南方向允许通行亮绿灯时,南北方向亮红灯,当南北方向允许通行亮绿灯时,东西方向亮红灯,放行时间都是40秒,在每次由绿灯变为红灯时,要闪烁5秒钟黄灯作为过渡,在设置一按钮按下改按钮后,东西南北四个方向均亮红灯,数码管显示0,再按一次该按钮交通灯正常工作。
  3. 所属分类:交通

    • 发布日期:2009-06-05
    • 文件大小:755712
    • 提供者:miao776457440
  1. EDA交通灯、数字钟设计

  2. 这是用MAX-II软件,VHDL语言编写的交通灯及数字钟程序,同时还附有设计报告。
  3. 所属分类:交通

    • 发布日期:2009-07-02
    • 文件大小:482304
    • 提供者:runmon
  1. 数电实验 交通灯 VHDL

  2. 设计制作一个用于十字路口的交通灯控制器。 1. 南北和东西方向各有一组绿、黄、红灯用于指挥交通,绿灯、黄灯和红灯的持续时间分别为20秒、5秒和25秒; 2. 当有特殊情况(如消防车、救护车等)时,两个方向均为红灯亮,计时停止,当特殊情况结束后,控制器恢复原来状态,继续正常运行; 3. 用两组数码管,以倒计时方式显示两个方向允许通行或禁止通行的时间; 4. 选做:增加左、右转弯显示控制功能; 5. 选做:其它自拟功能
  3. 所属分类:交通

    • 发布日期:2009-11-12
    • 文件大小:398336
    • 提供者:watson243671
  1. EDA实验-交通灯实验代码

  2. 简单的交通灯实验代码,module traffic(led,clk,segdat,sl); output[7:0]segdat; output[3:0]sl output[7:0]led; input clk; reg[23:0]count; reg[7:0]seg_reg; reg[3:0]sl_reg; reg[3:0]disp_dat; reg[1:0]RGY_status; reg[7:0]RGY_time; reg[7:0]led_reg;
  3. 所属分类:交通

    • 发布日期:2009-12-14
    • 文件大小:2048
    • 提供者:stream00
  1. eda交通灯控制电路

  2. 满足两个方向的工作时序:即东西方向亮红灯时间应等于南北方向亮黄、绿灯时间之和,南北方向亮红灯时间应等于东西方向亮黄、绿灯时间之和.我们的实验,已经仿真过
  3. 所属分类:交通

    • 发布日期:2009-12-22
    • 文件大小:422912
    • 提供者:vgezi
  1. EDA交通灯实验报告

  2. EDA交通灯实验报告,一个简单的程序,改变了程序,实现了其他功能
  3. 所属分类:交通

    • 发布日期:2009-12-27
    • 文件大小:50176
    • 提供者:wshwgz07
  1. EDA VHDL 交通灯实验代码

  2. EDA VHDL 交通灯实验代码 红绿黄三个灯,模拟十字路口。
  3. 所属分类:交通

    • 发布日期:2009-12-28
    • 文件大小:242688
    • 提供者:cnlison
  1. EDA实验报告(交通灯的设计)

  2. 用ISPLEVER来实现交通灯的设计,编写用ABEL语言
  3. 所属分类:交通

    • 发布日期:2009-12-30
    • 文件大小:81920
    • 提供者:NIURETON
  1. eda -交通灯设计

  2. 一﹑设计任务 设计一个十字路口的交通灯控制系统,用实验平台上的LED发光二极管显示车辆通过的方向(东西和南北各一组),用数码管显示该方向的剩余时间。要求:工作顺序为东西方向红灯亮45秒,前40秒南北方向绿灯亮,后5秒黄灯亮。然后南北方向红灯亮45秒,前40秒东西方向绿灯亮,后5秒黄灯亮。依次重复。有紧急事件时允许将某方向一直开绿灯或者开红灯,另外允许特定情况两方向均为红灯,车辆禁行,比如十字路口恶性交通事故时,东西,南北两个方向均有两位数码管适时显示该方向亮灯时间。
  3. 所属分类:交通

    • 发布日期:2010-03-31
    • 文件大小:58368
    • 提供者:s2472890
  1. EDA交通灯设计程序

  2. 交通灯设计是典型的EDA实验程序,此实验分主支路分别有红绿灯控制
  3. 所属分类:交通

    • 发布日期:2010-11-28
    • 文件大小:1048576
    • 提供者:qq1014561758
  1. EDA--交通灯的实现

  2. EDA课程的交通灯实验完整程序,包括QUARTUS8.0版本的工程文件和各个子文件及波形仿真图,亲自试验完全好用
  3. 所属分类:软件测试

    • 发布日期:2011-12-07
    • 文件大小:1048576
    • 提供者:jxlincong
  1. EDA交通灯的设计 VHDL硬件描述语言

  2. 设计交通灯的EDA实验,用到实验板上,仿真可行
  3. 所属分类:项目管理

    • 发布日期:2012-04-13
    • 文件大小:249856
    • 提供者:vention1
  1.  交通灯控制器EDA实验报告

  2. 利用状态机设计一个交通控制器,控制十字路口主、支两条通道路的红、绿、黄三色灯,指挥车辆和行人安全通行 设计一个十字路口的交通灯控制器,能显示十字路口东西、南北两个方向的红、黄、绿灯的指示状态。 要求交通灯控制器有复位功能,在复位信号使能的情况下能够实现交通灯的自动复位,并且要求所有交通灯的状态变化,包括复位信号引起的均发生在时钟脉冲的上升沿处。
  3. 所属分类:嵌入式

    • 发布日期:2012-11-28
    • 文件大小:88064
    • 提供者:aotuman111
  1. eda交通灯实验

  2. 这是一eda的实验,供有需要的学者研究学习
  3. 所属分类:数据库

    • 发布日期:2014-01-16
    • 文件大小:1048576
    • 提供者:u013491961
  1. EDA实验交通灯循环移动

  2. 主要使用Quartus软件操作,里面包含交通灯循环移动的代码,还有仿真时序图
  3. 所属分类:C/C++

    • 发布日期:2016-05-23
    • 文件大小:413696
    • 提供者:bdymiss
  1. EDA---交通灯设计

  2. 设计一个十字路口的交通灯控制系统,用实验平台上的LED发光二极管显示车辆通过的方向(东西和南北各一组),用数码管显示该方向的剩余时间。
  3. 所属分类:交通

    • 发布日期:2008-12-18
    • 文件大小:126976
    • 提供者:sallie0219
  1. EDA交通灯设计详细步骤与说明

  2. 设计一个十字路口的交通灯控制系统,用实验平台上的LED发光二极管显示车辆通过的方向(东西和南北各一组),用数码管显示该方向的剩余时间。要求:工作顺序为东西方向红灯亮45秒,前40秒南北方向绿灯亮,后5秒黄灯亮。然后南北方向红灯亮45秒,前40秒东西方向绿灯亮,后5秒黄灯亮。依次重复。有紧急事件时允许将某方向一直开绿灯或者开红灯,另外允许特定情况两方向均为红灯,车辆禁行,比如十字路口恶性交通事故时,东西,南北两个方向均有两位数码管适时显示该方向亮灯时间。
  3. 所属分类:交通

    • 发布日期:2009-01-04
    • 文件大小:485376
    • 提供者:zhouguojian1001
  1. EDA交通灯及其扩展功能的实现

  2. 数字电路实验EDA内容,altera公司MAX +plus2实现.用硬件描述语言来建立交通灯模块。
  3. 所属分类:交通

    • 发布日期:2009-04-05
    • 文件大小:523264
    • 提供者:shenpei310
« 12 3 »