您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. EDA交通灯实验程序

  2. 具体程序,可供参考!可以运行。 一些报告的步骤可以参考,
  3. 所属分类:交通

    • 发布日期:2009-05-05
    • 文件大小:4096
    • 提供者:lajibao
  1. EDA交通灯、数字钟设计

  2. 这是用MAX-II软件,VHDL语言编写的交通灯及数字钟程序,同时还附有设计报告。
  3. 所属分类:交通

    • 发布日期:2009-07-02
    • 文件大小:482304
    • 提供者:runmon
  1. 数字系统课程设计——十字路口交通灯控制器 EDA设计

  2. 数字系统课程设计: 由一条主干道和一条支干道的汇合点形成十字交叉路口,为确保车辆安全、迅速地通行,在交叉道口的每个入口处设置了红、绿、黄三色信号灯,而且在信号灯显示期间会有倒数计时显示提示信号灯的显示时间。 分为Multisim 2001、Multisim 10两个版本 包含电路测试端和元件清单 (可演示) 如需实验报告详细资料请联系我
  3. 所属分类:交通

    • 发布日期:2009-08-07
    • 文件大小:343040
    • 提供者:gstrong
  1. 《EDA》技术I实验指导书

  2. 很好的《EDA》技术I实验指导书! 《EDA技术I》实验教学大纲 1 第一部分:《电子设计自动化设计》实验说明 3 一、设计题目选择的要求 3 二、提交设计报告的要求 3 三、设计题目 3 四、实验考核方式说明 4 第二部分:基于GEXIN EDAPRO/240H实验仪实验 5 题目一 MAX+PLUSII基本操作 5 题目二 QUARTUSⅡ基本操作 5 题目三 FPGA compiler基本操作 6 题目四 4bit二进制加法器设计 6 题目五 4bit频率计设计 7 题目六 计数器设计
  3. 所属分类:交通

    • 发布日期:2009-12-03
    • 文件大小:2097152
    • 提供者:huangluxing163
  1. EDA交通灯实验报告

  2. EDA交通灯实验报告,一个简单的程序,改变了程序,实现了其他功能
  3. 所属分类:交通

    • 发布日期:2009-12-27
    • 文件大小:50176
    • 提供者:wshwgz07
  1. EDA实验报告(交通灯的设计)

  2. 用ISPLEVER来实现交通灯的设计,编写用ABEL语言
  3. 所属分类:交通

    • 发布日期:2009-12-30
    • 文件大小:81920
    • 提供者:NIURETON
  1. 数字逻辑课程设计报告

  2. 在一个具有主、支干道的十字路口,设计一个交通灯自动控制装置。 (1)主干道和支干道上的车辆交替通行,主干道每次通行20秒,支干道每次通行15秒。 (2)任意道路绿灯亮时计数(采用倒计时方式计数),一方计数,另一方停止,亮黄灯时停止计数。 (3)每次绿灯转为红灯前,黄灯先亮5秒。 编程环境:MAXPLUS II 10.0 实验仪器:EDA开发系统 器件型号: ACEX1K EP1K10TC100-3
  3. 所属分类:交通

    • 发布日期:2010-12-28
    • 文件大小:120832
    • 提供者:zhuiluodanyi12
  1. 自动交通灯控制系统实验报告

  2. 分主干道和支干道,主干道通行30秒,支干道20秒(具体可以自己修改)实现绿灯时倒计数等功能。
  3. 所属分类:交通

    • 发布日期:2008-06-20
    • 文件大小:104448
    • 提供者:qingci0400
  1. EDA 课程设计 十字路口红绿灯控制 VHDL语言实现

  2. EDA 课程设计 一种十字路口的红路灯的智能控制系统的实现 包含停车计数模块,交通灯时长的控制和自动转换以及数码管显示和实验报告,用VHDL语言编写,quartusII
  3. 所属分类:其它

    • 发布日期:2012-06-14
    • 文件大小:2097152
    • 提供者:super_fyb
  1.  交通灯控制器EDA实验报告

  2. 利用状态机设计一个交通控制器,控制十字路口主、支两条通道路的红、绿、黄三色灯,指挥车辆和行人安全通行 设计一个十字路口的交通灯控制器,能显示十字路口东西、南北两个方向的红、黄、绿灯的指示状态。 要求交通灯控制器有复位功能,在复位信号使能的情况下能够实现交通灯的自动复位,并且要求所有交通灯的状态变化,包括复位信号引起的均发生在时钟脉冲的上升沿处。
  3. 所属分类:嵌入式

    • 发布日期:2012-11-28
    • 文件大小:88064
    • 提供者:aotuman111
  1. 自选课题-交通灯控制器fpga

  2. EDA/FPGA大实验报告,包括程序结果及实验报告,很赞的
  3. 所属分类:专业指导

    • 发布日期:2013-05-17
    • 文件大小:74752
    • 提供者:u010729453
  1. EDA课程设计 交通灯

  2. 适用于EDA课程设计交通灯的实验,内含源代码和报告,自主研发!满足要求。
  3. 所属分类:硬件开发

    • 发布日期:2013-12-21
    • 文件大小:600064
    • 提供者:ztj674796980
  1. EDA交通灯设计试验

  2. 需要的可以来下!其中内容就是十字路口红黄绿灯一次工作的原理
  3. 所属分类:交通

    • 发布日期:2008-12-27
    • 文件大小:45056
    • 提供者:hubi0952
  1. EDA基础实验总结报告

  2. 山东大学信息学院EDA基础实验总结报告,内容包含实验1 4选1数据选择器的设计;实验2 四位比较器;实验3 并行加法器设计;实验4 七人表决器;实验5 计数器设计;实验6 巴克码发生器;实验8 交通灯信号控制器设计;
  3. 所属分类:其它

    • 发布日期:2018-10-21
    • 文件大小:678912
    • 提供者:qq_40499185
  1. 中南大学EDA实验报告

  2. 本实验的内容是设计一个简易的交通灯控制器,要求能实现红、绿、黄灯三种信号的控制并通过数码管显示倒计时时间。整个设计在SmartSOPC 实验箱上实现,用第一、第二个数码管显示A方向的倒计时时间,用第七、第八个数码管显示B方向的倒计时时间。此外,由于缺少交通灯模块,本实验用第一、第二、第三个LED灯代表A方向的红、绿、黄灯三种信号,用第六、第七、第八个LED灯代表B方向的红、绿、黄等三种信号。
  3. 所属分类:其它

    • 发布日期:2019-01-20
    • 文件大小:268288
    • 提供者:weixin_42471738
  1. EDA实训十字路口交通管理器的设计抢答器的设计步进电机设计

  2. EDA实训报告本次实训共完成三个实验,分别是抢答器设计、交通灯设计、步进电机设计。每一个实验之前都需要对其工作原理完全掌握,才可以编写代码或绘制原理图。其中绘制流程图是整理思路的简单快捷的方法之一。
  3. 所属分类:专业指导

    • 发布日期:2019-02-18
    • 文件大小:276480
    • 提供者:wangxinru123456
  1. EDA技术与应用实验报告交通灯.pdf

  2. EDA Quartus II软件 有限状态机 二进制码到BCD码的转换。从交通灯的实际问题中抽象出具体的状态机转换模型,然后编写状态机程序控制程序。
  3. 所属分类:电信

    • 发布日期:2020-04-27
    • 文件大小:423936
    • 提供者:weixin_42596755