您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. EDA/PLD中的EDA典型单元电路的多路选择器的设计

  2. 多路选择器可以从多组数据来源中选取一组送入目的地。它的应用范围相当广泛,从组合逻辑的执行到数据路径的选择,经常可以看到它的踪影。另外在时钟、计数定时器等的输出显示电路中经常利用多路选择器制作扫描电路来分别驱动输出装置,以降低功率的消耗。有时也希望把两组没有必要同时观察的数据,设置为共享一组显示电路,以降低成本。   多路选择器的结构是2"个输入数据对应有N个数据输出选择控制线和一个输出线。   【例1】 设计一个四选一的多路选择器的VHDL程序(使用IF-THEN-ELSE语句),并使用MA
  3. 所属分类:其它

    • 发布日期:2020-11-16
    • 文件大小:309248
    • 提供者:weixin_38692043
  1. EDA典型单元电路的多路选择器的设计

  2. 多路选择器可以从多组数据中选取一组送入目的地。它的应用范围相当广泛,从组合逻辑的执行到数据路径的选择,经常可以看到它的踪影。另外在时钟、计数定时器等的输出显示电路中经常利用多路选择器制作扫描电路来分别驱动输出装置,以降低功率的消耗。有时也希望把两组没有必要同时观察的数据,设置为共享一组显示电路,以降低成本。   多路选择器的结构是2"个输入数据对应有N个数据输出选择控制线和一个输出线。   【例1】 设计一个四选一的多路选择器的VHDL程序(使用IF-THEN-ELSE语句),并使用MAX+
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:385024
    • 提供者:weixin_38627826