您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. EDA数字钟设计(报告+ppt)

  2. EDA数字钟设计,有报告和ppt,程序是没有问题的啊
  3. 所属分类:专业指导

    • 发布日期:2009-05-14
    • 文件大小:312320
    • 提供者:duncan01
  1. EDA数字钟课程设计

  2. EDA数字钟课程设计EDA数字钟课程设计EDA数字钟课程设计
  3. 所属分类:专业指导

    • 发布日期:2009-12-14
    • 文件大小:1048576
    • 提供者:EDAKCSJ
  1. EDA数字钟(定时器、整点报时)代码

  2. EDA数字钟,完成定时器,整点报时,闹钟等等功能。
  3. 所属分类:C/C++

    • 发布日期:2010-03-16
    • 文件大小:8192
    • 提供者:sapphirehw
  1. EDA数字钟

  2. eda数字钟实现程序
  3. 所属分类:C/C++

    • 发布日期:2008-01-01
    • 文件大小:203776
    • 提供者:cwxian
  1. EDA数字钟设计程序(VHDL)

  2. 利用EDA试验箱设计的数字钟设计程序,提供给能用到的朋友!
  3. 所属分类:专业指导

    • 发布日期:2010-04-25
    • 文件大小:38912
    • 提供者:zhoushaohua1987
  1. EDA数字钟vhdl的设计

  2. EDA数字钟vhdl的设计,经过硬件测试过的
  3. 所属分类:专业指导

    • 发布日期:2010-05-14
    • 文件大小:319488
    • 提供者:swq0705071040
  1. EDA数字钟实现的源代码

  2. EDA实验的经典题目:数字钟的实现。此数字钟可实现可调可控。
  3. 所属分类:C/C++

    • 发布日期:2010-06-02
    • 文件大小:3072
    • 提供者:JingJing1224
  1. EDA数字钟经典实验

  2. EDA数字钟的经典之作,自己亲身实践,没一点问题,老师也验证过
  3. 所属分类:专业指导

    • 发布日期:2010-06-19
    • 文件大小:238592
    • 提供者:liangxun11
  1. EDA数字钟课程设计论文

  2. 基于EDA的数字钟设计 含有电路图和源程序
  3. 所属分类:专业指导

    • 发布日期:2011-04-26
    • 文件大小:420864
    • 提供者:elliter
  1. edaEDA数字钟的设计

  2. EDA数字钟的设计EDA数字钟的设计EDA数字钟的设计EDA数字钟的设计EDA数字钟的设计EDA数字钟的设计EDA数字钟的设计
  3. 所属分类:电信

    • 发布日期:2011-05-10
    • 文件大小:226304
    • 提供者:qq812672529
  1. EDA 数字钟 实验

  2. EDA 数字钟 实验 vhdl
  3. 所属分类:嵌入式

    • 发布日期:2011-12-16
    • 文件大小:236544
    • 提供者:xrs1107
  1. 东北大学EDA数字钟课程设计vhdl

  2. 东北大学EDA数字钟课程设计 电子09级的 测试通过 添加了其他功能,后面的资源里还有课程设计报告,学弟学妹们放心下载吧。
  3. 所属分类:硬件开发

    • 发布日期:2012-04-29
    • 文件大小:1048576
    • 提供者:sundongzheng
  1. EDA数字钟设计

  2. EDA数字钟实现,硬件编程,可编程逻辑器件
  3. 所属分类:其它

    • 发布日期:2012-09-07
    • 文件大小:3072
    • 提供者:xiaoxinzhubpp
  1. EDA数字钟设计

  2. 模电实验中数字钟的仿真实验,包含基本功能要求和一些附加闹钟功能
  3. 所属分类:专业指导

    • 发布日期:2013-03-05
    • 文件大小:544768
    • 提供者:yumin841931783
  1. eda数字钟设计报告

  2. eda数字钟报告设计 包括心得体会 大二学生作业
  3. 所属分类:软件测试

    • 发布日期:2014-04-21
    • 文件大小:1048576
    • 提供者:sinat_14846135
  1. EDA数字钟程序代码

  2. 对于数字钟的设计,这里是一些代码供大家参考
  3. 所属分类:讲义

    • 发布日期:2015-10-15
    • 文件大小:98304
    • 提供者:qq_31988717
  1. EDA数字钟设计

  2. 本次设计的多功能数字钟具有如下功能: 1.秒/分/时的依次显示并正确计数; 2.定时闹钟:实现整点报时,扬声器发出报时声音; 3.时间设置,即手动调时功能:当认为时钟不准确时,可以分别对分/时进行调整;
  3. 所属分类:嵌入式

    • 发布日期:2016-01-15
    • 文件大小:394240
    • 提供者:sinat_26583215
  1. 用VHDL语言写的EDA数字钟

  2. 1、设计一个能显示1/10秒、秒、分、时的12小时数字钟。 2、熟练掌握各种计数器的使用。 3、能用计数器构成十进制、六十进制、十二进制等所需进制的计数器。 4、能用低位的进位输出构成高位的计数脉冲。
  3. 所属分类:专业指导

    • 发布日期:2008-12-12
    • 文件大小:94208
    • 提供者:withwindcool
  1. 基于EDA数字钟设计报告

  2. 1题目分析 1.1 设计要求(数字钟的功能) (1)具有秒、分、时技术显示功能,且以24小时循环计时; (2)具有清零功,且能调时、调分; (3)具有整点报警功能,并且在报警过程中能中断报警。 根据以上功能要求,可设计以下的功能方块图: 1.2功能要求分析 根据以上数字钟的功能要求,需要完成以下几个部分: (1)时钟模块:由试验箱内部时钟提供,对计数器提供计数时钟信号; (2)秒钟模块:对秒进行60进制循环计数,并向分钟产生进位,同时具有调分功能; (3)分钟模块:对分进行60进制循环计数,并
  3. 所属分类:其它

    • 发布日期:2008-12-23
    • 文件大小:2097152
    • 提供者:dongxiaoyao
  1. EDA数字钟模块 自己做的

  2. 数字钟 有EDA设计的钟 不是用VHDL写的,直接用图形模块弄的
  3. 所属分类:专业指导

    • 发布日期:2008-12-27
    • 文件大小:1044480
    • 提供者:perjer123
« 12 3 4 5 6 7 8 9 10 »