您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. EDA数字钟设计(报告+ppt)

  2. EDA数字钟设计,有报告和ppt,程序是没有问题的啊
  3. 所属分类:专业指导

    • 发布日期:2009-05-14
    • 文件大小:312320
    • 提供者:duncan01
  1. EDA技术多功能数字钟系统的设计

  2. 本设计为通过EDA仿真软件MAX+PLUSII设计一个多功能数字钟,并下载到硬件中实现。本系统的设计电路由计时电路、动态显示电路、闹钟电路、控制电路、显示电路等部分组成。本系统采用动态显示的原理在数码管上显示12小时计时的时刻,具有清零、保持、校时、报时的功能,并在此基础上增加了闹铃、秒表、12小时制计时、A/P显示等功能。
  3. 所属分类:嵌入式

    • 发布日期:2009-05-15
    • 文件大小:521216
    • 提供者:liujilong8
  1. 基于VHDL数字钟的设计

  2. EDA技术在电子系统设计领域越来越普及,本设计主要利用VHDL语言在EDA平台上设计一个电子数字钟,它的计时周期为24小时,显示满刻度为23时59分59秒,另外还具有校时功能和闹钟功能。总的程序由几个各具不同功能的单元模块程序拼接而成,其中包括时分秒以及星期计数模块和重置时间模块。
  3. 所属分类:专业指导

    • 发布日期:2009-06-06
    • 文件大小:201728
    • 提供者:yuanteng
  1. 基于VHDL数字钟的设计

  2. EDA技术在电子系统设计领域越来越普及,本设计主要利用VHDL语言在EDA平台上设计一个电子数字钟,它的计时周期为24小时,显示满刻度为23时59分59秒,另外还具有校时功能和闹钟功能。总的程序由几个各具不同功能的单元模块程序拼接而成,其中包括时分秒以及星期计数模块和重置时间模块。
  3. 所属分类:专业指导

    • 发布日期:2009-06-06
    • 文件大小:304128
    • 提供者:yuanteng
  1. 基于FPGA的数字钟设计报告

  2. EDA技术在电子系统设计领域越来越普及,本设计主要利用VHDL语言在EDA平台上设计一个电子数字钟,它的计时周期为24小时,显示满刻度为23时59分59秒,另外还具有校时功能和闹钟功能。总的程序由几个各具不同功能的单元模块程序拼接而成,其中包括分频程序模块、时分秒计数和设置程序模块、比较器程序模块、三输入数据选择器程序模块、译码显示程序模块和拼接程序模块。并且使用QuartusII软件进行电路波形仿真,下载到EDA实验箱进行验证。该设计采用自顶向下、混合输入方式(原理图输入—顶层文件连接和VH
  3. 所属分类:硬件开发

    • 发布日期:2009-06-10
    • 文件大小:540672
    • 提供者:shiyun123
  1. EDA 实用数字钟设计

  2. 利用VHDL语言编写的数字钟,可以实现调时,切换十二小时或二十四小时,闹钟等
  3. 所属分类:专业指导

    • 发布日期:2009-06-27
    • 文件大小:459776
    • 提供者:shenghao_love
  1. EDA (VHDL语言)--数字钟

  2. 用VHDL语言设计数字钟,可在quartus环境下编译通过,实现计时,报时与重置功能。
  3. 所属分类:C/C++

    • 发布日期:2010-01-13
    • 文件大小:70656
    • 提供者:longjilb
  1. 基于EDA实现数字钟设计

  2. 通过EDA实现数字钟的设计,编译通过,适合初学者,仅供参考。
  3. 所属分类:专业指导

    • 发布日期:2010-01-23
    • 文件大小:175104
    • 提供者:zjp649527
  1. 数字逻辑课程实验数字钟设计实验报告

  2. 数字逻辑专题实验,数字钟设计的实验报告,有部分原理图和相信设计流程
  3. 所属分类:专业指导

    • 发布日期:2010-05-21
    • 文件大小:509952
    • 提供者:cmrr227
  1. EDA实验 作业 课程设计,用原理图输入法设计一位全加器,计数器(74160)和译码器(7448),顶层用原理图设计,用混合输入及层次化设计,VHDL语言的组合电路设计,0--9可逆计数器输出的是8421BCD码,交通灯,数字钟

  2. 用原理图输入法设计一位全加器,计数器(74160)和译码器(7448),顶层用原理图设计,用混合输入及层次化设计,VHDL语言的组合电路设计,0--9可逆计数器输出的是8421BCD码,交通灯,数字钟的VHDL语言设计
  3. 所属分类:交通

    • 发布日期:2010-11-11
    • 文件大小:2097152
    • 提供者:ssolriu
  1. EDA设计——数字钟设计

  2. EDA设计——数字钟设计 设计一个数字计时器,可以完成0分00秒~9分59秒的计时功能,并在控制电路的作用下具有开机清零、快速校分、整点报时功能。
  3. 所属分类:专业指导

    • 发布日期:2010-12-11
    • 文件大小:611328
    • 提供者:daisuguan
  1. EDA 数字钟 实验

  2. EDA 数字钟 实验 vhdl
  3. 所属分类:嵌入式

    • 发布日期:2011-12-16
    • 文件大小:236544
    • 提供者:xrs1107
  1. 数字钟VHDL语言(EDA)

  2. 用VHDL语言实现的数字钟,完美运行,报告
  3. 所属分类:硬件开发

    • 发布日期:2011-12-22
    • 文件大小:2097152
    • 提供者:bingse8023
  1. EDA FPGA 数字钟

  2. 利用QuartusII与FPGA,制作数字钟。数字钟由分频模块,计数模块、显示模块、报时模块等几部分构成,数字钟的时、分、秒由一个24进制计数器(00-23),两个60进制计数器(00-59)级联构成。以10进制计数器74160来实现时间计数单元的计数功能。利用一片7447,采用分时复用方式,连接LED数码管显示。
  3. 所属分类:专业指导

    • 发布日期:2012-04-10
    • 文件大小:585728
    • 提供者:wmbread
  1. EDA技术数字钟

  2. 数字钟代码,分频24进制60进制译码器,可以直接下载调试
  3. 所属分类:其它

    • 发布日期:2012-06-27
    • 文件大小:224256
    • 提供者:living0925
  1. EDA实验数字钟

  2. **理工大学EDA实验2用HDVL编写的数字钟, 功能强大!, 用Quartus软件选择打开工程后, 打开clock文件即可, 文件提供了默认的输入输出引脚, 也可根据需要自己配置。
  3. 所属分类:专业指导

    • 发布日期:2014-03-02
    • 文件大小:1002496
    • 提供者:li_ming_lei
  1. EDA实验 数字钟 设计程序,已通过 自己编写,好懂,分模块,可参考,可直接拿去其他模块

  2. EDA实验 数字钟 设计程序,已通过 自己编写,好懂,分模块,可参考,可直接拿去其他模块
  3. 所属分类:C/C++

    • 发布日期:2014-12-11
    • 文件大小:1048576
    • 提供者:baidu_24130311
  1. EDA设计基于VHDL的多功能数字钟

  2. EDA课程设计,VHDL硬件描述语言,数字钟,多功能,正数,倒数,单键置数等
  3. 所属分类:硬件开发

    • 发布日期:2016-10-05
    • 文件大小:2097152
    • 提供者:qq_26164991
  1. 基于EDA的数字钟设计

  2. 数字钟的设计方法多种多样,但基于EDA的数字钟的设计方便实现,简单易行。
  3. 所属分类:专业指导

    • 发布日期:2009-03-11
    • 文件大小:561152
    • 提供者:duan7217
  1. EDA技术数字钟控制器设计

  2. 这个设计是基于学校的实验室里的平台做出来的,有相关的学弟学妹可以过来,其他大佬或者需要的人可以酌情下载查看,最终的实现没有达到最终要求,但是基本的做出来了
  3. 所属分类:硬件开发

    • 发布日期:2020-08-19
    • 文件大小:2097152
    • 提供者:qq_44909915
« 12 3 4 5 6 »