您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于EDA技术的多功能数字时钟的ASIC设计

  2. 采用EDA技术设计了一个在FPGA芯片上实现的数字时钟,它可以显示年、月、日、时、分、秒、星期,并且可以设定闹钟和整点报时.数字时钟的输入设备是一个4×4的编码键盘,输出设备是用于显示的15个七段数码管、若干LED指示灯及蜂鸣器,数字时钟的内部功能模块可以分为三个部分:综合计时电路、显示控制电路、调整控制电路.其中综合计时电路用于完成各种计时功能,显示控制电路用于完成计时结果的显示,调整控制电路用于调整计时系统的有关参数.
  3. 所属分类:硬件开发

    • 发布日期:2009-05-30
    • 文件大小:268288
    • 提供者:armxing
  1. 数字时钟 fpga

  2. 数字时钟 fpga 完整电路 多功能 vhdl
  3. 所属分类:硬件开发

    • 发布日期:2009-12-17
    • 文件大小:386048
    • 提供者:kisszzl
  1. FPGA数字时钟全部代码、包括引脚映射等全部

  2. FPGA数字时钟全部代码、包括引脚映射等全部,支持EP2C8芯片。
  3. 所属分类:硬件开发

    • 发布日期:2010-04-28
    • 文件大小:71680
    • 提供者:bg6khc
  1. VerilogHDL在FPGA中实现的数字时钟

  2. 本实验实现一个能显示小时,分钟,秒的数字时钟。
  3. 所属分类:硬件开发

    • 发布日期:2010-05-07
    • 文件大小:315392
    • 提供者:zhideer
  1. 基于FPGA的数字时钟的设计

  2. :在Q-砒.sⅡ开发环境下,用Verilog HDL硬件描述语言设计了一个可以在FPGA芯片上实现的数字 时钟.通过将设计代码下载到FPGA的开发平台Ahera DE2开发板上进行了功能验证.由于数字时钟的通用性 及Verilog HDL语言的可移植性,冈此本数字时钟可直接应用于各种不同系列的FPGA芯片的设计中.
  3. 所属分类:硬件开发

    • 发布日期:2010-06-24
    • 文件大小:171008
    • 提供者:wangs001
  1. 基于FPGA的数字时钟设计

  2. 摘要:本实验中我们运用EDA课程中所学的知识,设计了一个拥有时间校正和闹钟功能的24小时制多功能数字时钟。通过本实验,我们初步了解EDA的设计过程;初步掌握用VHDL语言的设计方法和设计思想;初步熟悉Max+Plus II软件平台的编程和仿真,并通过AEDK-EDA实验板下载模拟实现初步了解了硬件实现的方法。
  3. 所属分类:嵌入式

    • 发布日期:2010-12-20
    • 文件大小:130048
    • 提供者:loveenhua
  1. 基于fpga的数字时钟实现

  2. 用fpga实现的高精度的数字时钟,用verilog编写的,但是有的地方出现了问题,望高手不吝赐教啊
  3. 所属分类:其它

    • 发布日期:2012-02-19
    • 文件大小:4096
    • 提供者:maminglong1988
  1. 基于FPGA 的数字时钟

  2. 基于fpga的数字时钟,采用VHDL语言编写,可校时、校分,整点报时。
  3. 所属分类:嵌入式

    • 发布日期:2012-03-02
    • 文件大小:323584
    • 提供者:aliceting
  1. 基于spartan6的数字时钟

  2. 时钟有三大功能 :显示,校验,闹钟~ 都已经测试实现,对学FPGA的很有帮助
  3. 所属分类:硬件开发

    • 发布日期:2012-04-10
    • 文件大小:552960
    • 提供者:huangjunhui104
  1. 数字时钟(FPGA)

  2. 在ISE下的数字时钟的设计,应用Verilog语言编写
  3. 所属分类:硬件开发

    • 发布日期:2012-12-26
    • 文件大小:6291456
    • 提供者:wlxliugang
  1. FPGA VHDL 数字时钟

  2. FPGA VHDL 数字时钟 FPGA VHDL 数字时钟
  3. 所属分类:硬件开发

    • 发布日期:2013-03-16
    • 文件大小:481280
    • 提供者:yalabohan
  1. FPGA数字时钟代码与原理

  2. 基于FPGA的数字时钟代码与原理,每一步的开发过程与原理都有。在数码管实时显示时钟。
  3. 所属分类:硬件开发

    • 发布日期:2013-12-22
    • 文件大小:58368
    • 提供者:mykeylock
  1. 数字时钟的实现(verilog版)

  2. 本代码是基于FPGA编写的,采用的开发语言是verilog语言,实现的是一个数字时钟:包括小时、分钟、秒,包括对数字时钟的时间调节等动能!
  3. 所属分类:硬件开发

    • 发布日期:2014-03-28
    • 文件大小:14336
    • 提供者:xiong0805044105
  1. FPGA数字时钟

  2. FPGA 数字时钟VERILOGHDL程序设计实例,包括测试时序,初学者可很好的翻照学习。
  3. 所属分类:硬件开发

    • 发布日期:2014-08-10
    • 文件大小:67584
    • 提供者:action_tmp
  1. FPGA数字时钟

  2. 用的是EP3C6实验箱,每个模块都用中文注释了的。显示时-分-秒、整点报时、小时和分钟可调等基本功能。整个钟表的工作是在1Hz信号的作用下进行,这样每来一个时钟信号,秒增加1秒,当秒从59秒跳转到00秒时,分钟增加1分,同时当分钟从59分跳转到00分时,小时增加1小时。按动按键开关的S1、S2小时和分钟开始步进,进行时间的调整。按下按键开关的RESET,显示恢复到00-00-00重新开始显示时间。按键可以自己设置,我设置的是K1,K2和S1。详细的可以对照EP1C6Q240C8实验箱管脚对照表
  3. 所属分类:硬件开发

    • 发布日期:2014-11-12
    • 文件大小:1048576
    • 提供者:memejun
  1. 基于FPGA的数字时钟(可调时)BASYS2(绝对有用)

  2. 基于FPGA的数字时钟(可调时),切换显示模式,BASYS2
  3. 所属分类:硬件开发

    • 发布日期:2017-11-06
    • 文件大小:9216
    • 提供者:w1152715961
  1. FPGA 数字时钟

  2. 用verilog 编写的一个数字时钟例程,可以实现24小时计数,还有闹钟报警、校时、校分等功能,程序简单易懂,亲测可行
  3. 所属分类:硬件开发

    • 发布日期:2018-04-12
    • 文件大小:3145728
    • 提供者:changengchu3961
  1. FPGA 数字时钟

  2. 基于verilog的FPGA数字时钟,如果安装了ISE可以直接打开.xise文件下载到FPGA板上,否则可以找文件夹中的.v文件使用
  3. 所属分类:其它

    • 发布日期:2018-04-26
    • 文件大小:814080
    • 提供者:metaphysics_
  1. FPGA数字时钟计数器 Verilog实现

  2. FPGA基于Verilog语言的普通数字时钟计数器代码
  3. 所属分类:硬件开发

    • 发布日期:2018-11-08
    • 文件大小:7168
    • 提供者:grace_fight
  1. 多功能数字时钟FPGA 实现(含代码).zip

  2. 本项目实现一个具有小时 分 秒的时钟 具有整点报时。手动校正时间等等功能! 适合初学者~ 初学者 , 项目 , 校正
  3. 所属分类:专业指导

    • 发布日期:2019-09-03
    • 文件大小:138240
    • 提供者:drjiachen
« 12 3 4 5 6 7 8 9 10 ... 21 »