您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于FPGA 的DDS 调频信号的研究与实现.pdf

  2. 基于FPGA 的DDS 调频信号的研究与实现 摘 要:本文从DDS 基本原理出发,利用FPGA 来实现DDS 调频信号的产生,重点介绍了其 原理和电路设计,并给出了FPGA 设计的仿真和实验,实验结果表明该设计是行之有效的。 关键词: DDS;调频;FPGA;仿真
  3. 所属分类:硬件开发

    • 发布日期:2009-05-08
    • 文件大小:82944
    • 提供者:willdododo
  1. 数字频率合成dds正弦波,基于FPGA的DDS产生的正弦波信号VHDL程序

  2. 数字频率合成dds正弦波,基于FPGA的DDS产生的正弦波信号程序,VHDL程序.拿去就能用,系统时钟50M,产生100K的正弦波信号,频率控制字你可以自己改
  3. 所属分类:硬件开发

    • 发布日期:2009-05-22
    • 文件大小:211931
    • 提供者:qgl220
  1. 基于FPGA 的DDS 调频信号的研究与实现

  2. 基于FPGA 的DDS 调频信号的研究与实现 基于FPGA 的DDS 调频信号的研究与实现 基于FPGA 的DDS 调频信号的研究与实现
  3. 所属分类:硬件开发

    • 发布日期:2010-07-11
    • 文件大小:82944
    • 提供者:tiandi45453
  1. 基于FPGA的DDS信号发生器的设计开题报告

  2. 基于FPGA的DDS信号发生器的设计开题报告
  3. 所属分类:硬件开发

    • 发布日期:2010-11-26
    • 文件大小:83968
    • 提供者:crule19870104
  1. 基于FPGA的DDS信号发生器

  2. 基于FPGA的DDS信号发生器 基于FPGA的DDS信号发生器
  3. 所属分类:硬件开发

    • 发布日期:2010-11-26
    • 文件大小:30720
    • 提供者:crule19870104
  1. 基于FPGA的DDS信号源的设计论文

  2. 基于FPGA的DDS信号源的设计论文基于FPGA的DDS信号源的设计论文基于FPGA的DDS信号源的设计论文基于FPGA的DDS信号源的设计论文基于FPGA的DDS信号源的设计论文基于FPGA的DDS信号源的设计论文
  3. 所属分类:其它

    • 发布日期:2011-04-21
    • 文件大小:3145728
    • 提供者:qinzushu
  1. 基于FPGA的DDS信号发生器

  2. 【摘要】介绍了利用现场可编程逻辑门阵列FPGA实现直接数字频率合成(DDS)的原理,以及以DDS为核心的信号发生器。重点介绍了DDS技术在FPGA中的实现方法,给出了采用ALTERA公司的FLEX系列FPGA芯片FLEX10K进行直接数字频率合成的VHDL源程序。 【关键词】 直接数字频率合成(DDS); 现场可编程逻辑器件(FPGA);硬件描述语言(VHDL); 【前言】随着数字技术在仪表和通信系统中的广泛应用,一种从参考频率源生成多种频率的数字控制方法应运而生,这种技术被称为直接数字合成(
  3. 所属分类:其它

    • 发布日期:2011-07-21
    • 文件大小:123904
    • 提供者:luck_dragon
  1. 基于FPGA的DDS原理&设计和制作及源程序(原理附上代码讲的很全).rar

  2. 基于FPGA的DDS原理&设计和制作及源程序(原理附上代码讲的很全) 设计 , 源程序 , 制作
  3. 所属分类:专业指导

    • 发布日期:2019-09-06
    • 文件大小:775168
    • 提供者:drjiachen
  1. 基于FPGA的DDS信号发生器

  2. 基于Cyclone的DDS函数信号发生器,,采用倍频至150M,可生成最高40M的正弦波
  3. 所属分类:硬件开发

    • 发布日期:2019-09-04
    • 文件大小:7340032
    • 提供者:weixin_41198651
  1. 基于fpga的dds正弦波发生器

  2. 基于FPGA cyclone III EP3C16F484C6的dds正弦波发生器,频率可调
  3. 所属分类:嵌入式

    • 发布日期:2012-07-13
    • 文件大小:494592
    • 提供者:bloodsteal
  1. 基于FPGA的DDS励磁恒流源设计

  2. 文中提出一种基于FPGA的DDS信号发生器。信号发生电路采用直接数字频率合成技术,即DDS(Direct Digital Frequency Synth-esis)。它是以全数字技术,从相位概念出发,直接合成所需波形的一种新的频率合成技术。是将先进的数字处理技术和方法引入信号合成领域,把一系列数字量形式的信号通过数/模转换器转换成模拟信号,在时域中进行频率合成。直接数字频率合成器的主要优点是:输出信号频率相对带宽较宽;频率分辨力好、转换时间快;频率变化时相位保持连续;集成度高,体积小,控制方便等
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:242688
    • 提供者:weixin_38500090
  1. FPGA的DDS调频信号研究与实现

  2. 用FPGA实现DDS调频信号电路较采用专用DDS芯片更为灵活,只要改变FPGA中ROM内的数据和控制参数,DDS就可以产生任意调制波形,且分辨率高,具有相当大的灵活性。相比之下,DDS的功能完全取决于设计需求,可以复杂也可以简单,而且FPGA芯片还支持在系统现场升级。另外,将DDS设计嵌入到FPGA芯片所构成的系统中,其系统成本并不会增加多少,而购买专用芯片的价格则是前者的很多倍。所以采用FPGA来设计DDS系统具有很高的性价比。
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:303104
    • 提供者:weixin_38626080
  1. EDA/PLD中的FPGA的DDS调频信号研究与实现

  2. 1 引言   直接数字频率合成器(DDS)技术,具有频率切换速度快,很容易提高频率分辨率、对硬件要求低、可编程全数字化便于单片集成、有利于降低成本、提高可靠性并便于生产等优点。目前各大芯片制造厂商都相继推出采用先进CMOS工艺生产的高性能和多功能的DDS芯片,专用DDS芯片采用了特定工艺,内部数字信号抖动很小,输出信号的质量高。然而在某些场合,由于专用的DDS芯片的控制方式是固定的,故在工作方式、频率控制等方面与系统的要求差距很大,这时如果用高性能的FPGA器件设计符合自己需要的DDS电路就是
  3. 所属分类:其它

    • 发布日期:2020-11-05
    • 文件大小:316416
    • 提供者:weixin_38557068
  1. 单片机与DSP中的基于FPGA的DDS任意波形发生器

  2. 目前利用专门DDS芯片开发的信号源比较多,它们输出频率高、波形好、功能也较多,但它们的ROM里一般都只存有一种波形(正弦波),加上一些外围电路也能产生少数几种波形,但速度受到很大的限制,因此使用不是很灵活。为了增加灵活性,可以采用FPGA实现DDS技术,把DDS中的ROM改用SRAM,SRAM作为一个波形抽样数据的公共存储器,只要改变存储波形信息的数据,就可以灵活地实现任意波形发生器。  该系统主要由DDS系统、数模转换及输出信号调理等部分组成,由单片机控制,外加键盘及显示等人-机接口部分。DD
  3. 所属分类:其它

    • 发布日期:2020-11-13
    • 文件大小:92160
    • 提供者:weixin_38625708
  1. EDA/PLD中的基于FPGA的DDS信号发生器设计

  2. 0 引 言   信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。函数信号发生器的实现方法通常是采用分立元件或单片专用集成芯片,但其频率不高,稳定性较差,且不易调试,开发和使用上都受到较大限制。随着可编程逻辑器件(FPGA)的不断发展,直接频率合成(DDS)技术应用的愈加成熟,利用DDS原理在FP-GA平台上开发高性能的多种波形信号发生器与基于DDS芯片的信号发生器相比,成本更低,操作更加
  3. 所属分类:其它

    • 发布日期:2020-11-10
    • 文件大小:285696
    • 提供者:weixin_38659527
  1. EDA/PLD中的基于FPGA的DDS调频信号的研究与实现

  2. 1 引言     直接数字频率合成器(DDS)技术,具有频率切换速度快,很容易提高频率分辨率、对硬件要求低、可编程全数字化便于单片集成、有利于降低成本、提高可靠性并便于生产等优点。目前各大芯片制造厂商都相继推出采用先进CMOS工艺生产的高性能和多功能的DDS芯片,专用DDS芯片采用了特定工艺,内部数字信号抖动很小,输出信号的质量高。然而在某些场合,由于专用的DDS芯片的控制方式是固定的,故在工作方式、频率控制等方面与系统的要求差距很大,这时如果用高性能的FPGA器件设计符合自己需要的DDS电
  3. 所属分类:其它

    • 发布日期:2020-12-07
    • 文件大小:125952
    • 提供者:weixin_38740328
  1. 基于FPGA的DDS信号发生器的设计

  2. 基于FPGA的DDS信号发生器的设计
  3. 所属分类:专业指导

    • 发布日期:2021-03-11
    • 文件大小:1048576
    • 提供者:weixin_42773788
  1. 基于FPGA的DDS IP核设计

  2. 以Altera公司的Quartus Ⅱ 7.2作为开发工具,研究了基于FPGA的DDS IP核设计,并给出基于Signal Tap II嵌入式逻辑分析仪的仿真测试结果。将设计的DDS IP核封装成为SOPC Builder自定义的组件,结合32位嵌入式CPU软核Nios II,构成可编程片上系统(SOPC),利用极少的硬件资源实现了可重构信号源。该系统基本功能都在FPGA芯片内完成,利用 SOPC技术,在一片 FPGA 芯片上实现了整个信号源的硬件开发平台,达到既简化电路设计、又提高系统稳定性和
  3. 所属分类:其它

    • 发布日期:2021-01-31
    • 文件大小:946176
    • 提供者:weixin_38675465
  1.  基于FPGA的DDS杂散分析及抑制方法

  2. 首先介绍了采用直接数字频率合成(DDS)技术的正弦信号发生器的基本原理和采用FPGA实现DDS信号发生器的基本方法,然后结合DDS的原理分析了采用DDS方法实现的正弦信号发生器的优缺点,其中重点分析了幅度量化杂散产生的误差及其原因,最后针对DDS原理上存在的幅度量化杂散,利用FPGA时钟频率可调的特点,重点提出了基于FPGA实现的DDS正弦信号发生器的两种改进方法,经过MATLAB仿真验证,改进方法较好的抑制了幅度量化杂散,减小了误差。
  3. 所属分类:其它

    • 发布日期:2021-01-30
    • 文件大小:744448
    • 提供者:weixin_38556205
  1. 基于FPGA的DDS信号发生器设计

  2. 0 引 言   信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。函数信号发生器的实现方法通常是采用分立元件或单片专用集成芯片,但其频率不高,稳定性较差,且不易调试,开发和使用上都受到较大限制。随着可编程逻辑器件(FPGA)的不断发展,直接频率合成(DDS)技术应用的愈加成熟,利用DDS原理在FP-GA平台上开发高性能的多种波形信号发生器与基于DDS芯片的信号发生器相比,成本更低,操作更加
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:273408
    • 提供者:weixin_38692100
« 12 3 4 5 6 7 8 9 10 ... 34 »