您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于FPGA的mif文件创建与使用

  2. 真正意义上的ROM应具有掉电后信息不丢失的特性,因此利用FPGA实现的ROM只能认为器件处于用户状态时具备ROM功能。使用时不必要刻意划分,而ROM单元的初始化则是设计人员必须面对的问题。本文讨论FPGA的ROM初始化问题,详细介绍mit文件的创建与使用
  3. 所属分类:硬件开发

    • 发布日期:2011-03-01
    • 文件大小:399360
    • 提供者:glyhww
  1. FPGA在mif文件创建与使用中的应用

  2. 1 引言   在一些需要特殊运算的应用电路中,只读存储器ROM是关键元件,设计人员通常利用ROM创建各种查找表,从而简化电路设计,提高电路的处理速度和稳定性。FPGA是基于SRAM的可编程器件。掉电后FPGA上的配置信息将全部丢失,所以由FPGA构造的数字系统在每次上电后要依赖于外部存储器来主动配置或在线被动配置。真正意义上的ROM应具有掉电后信息不丢失的特性,因此利用FPGA实现的ROM只能认为器件处于用户状态时具备ROM功能。使用时不必要刻意划分,而ROM单元的初始化则是设计人员必须面对的问
  3. 所属分类:其它

    • 发布日期:2020-10-23
    • 文件大小:280576
    • 提供者:weixin_38694674
  1. FPGA的ROM初始化问题讨论

  2. 本文讨论FPGA的ROM初始化问题,详细介绍mit文件的创建与使用。
  3. 所属分类:其它

    • 发布日期:2020-10-19
    • 文件大小:235520
    • 提供者:weixin_38608726