您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. SOPC系统设计入门教程

  2. 前言 目录 第一章 概述 1.1 SOPC的概念 1.2 SOPC系统设计流程 1.2.1 SOPC Builder的设计流程 1.2.2 SOPC Builder的设计阶段 1.2.3 SOPC系统开发流程 1.3 SOPC系统开发环境 1.4 本书中的系统配置 第二章 SOPC系统构架 2. 1 系统模块框图 2. 2 Nios CPU 2.2.1 指令总线主端口 2.2.2 数据总线主端口 2.2.3 缓冲存储器 2.2.4 移位单元 2.2.5 乘法支持 2.2.6 中断支持 2.2.
  3. 所属分类:iOS

    • 发布日期:2009-05-31
    • 文件大小:5242880
    • 提供者:chxinrui
  1. 嵌入式系统硬件设计课件珍藏

  2. 硬件基础知识 围绕嵌入式微处理器的设计,微处理器的简介 存储器和存储接口 串行口 TIMER和PWM,RTC,Watchdog和GPIO AD和DA接口 电源系统设计 液晶显示 接口 USB 接口 FPGA、CPLD的设计 其他接口设计 硬件设计流程 器件选型 原理图的设计 PCB的设计 案例 调试方法 FPGA/CPLD 设计流程
  3. 所属分类:硬件开发

    • 发布日期:2009-06-06
    • 文件大小:3145728
    • 提供者:xinlele
  1. 从零开始设计FPGA最小系统 入门教程

  2. 从零开始设计FPGA最小系统 掌握 FPGA最小系统的概念和组成电路 了解FPGA常用接口电路的原理和设计 了解FPGA硬件系统的调试步骤和方法
  3. 所属分类:硬件开发

    • 发布日期:2009-07-28
    • 文件大小:1048576
    • 提供者:qz3168765
  1. 远程USB光纤传输系统的研究与设计

  2. 通用串行总线(USB)是一种新兴的计算机外围通信接口标准,随着计算机技术和数字技术的迅猛发展,以及USB 2.0、USB OTG的推出,USB技术的应用日益广泛。USB具有灵活、方便、应用范围广、通信稳定、成本低廉等优点,已成为当前计算机必备的接口。但是其单段电缆几米、多级串联几十米的传输距离,始终是限制其应用范围进一步拓展的巨大障碍。因此,远程USB传输系统的开发具有重要意义。本文提出了一种利用光纤实现USB信号远距离透明传输的方案。它支持USB全速传输,在本地的主机一侧和远端的设备一侧分别
  3. 所属分类:硬件开发

    • 发布日期:2009-11-03
    • 文件大小:4194304
    • 提供者:huayanou
  1. 华为 硬件工程师手册

  2. 第一章 概述 3第一节 硬件开发过程简介 3§1.1.1 硬件开发的基本过程 4§1.1.2 硬件开发的规范化 4第二节 硬件工程师职责与基本技能 4§1.2.1 硬件工程师职责 4§1.2.1 硬件工程师基本素质与技术 5第二章 硬件开发规范化管理 5第一节 硬件开发流程 5§3.1.1 硬件开发流程文件介绍 5§3.2.2 硬件开发流程详解 6第二节 硬件开发文档规范 9§2.2.1 硬件开发文档规范文件介绍 9§2.2.2 硬件开发文档编制规范详解 10第三节 与硬件开发相关的流程文件介
  3. 所属分类:硬件开发

    • 发布日期:2009-12-29
    • 文件大小:906240
    • 提供者:bubbagao
  1. FPGA开发全攻略-上

  2. 一章、为什么工程师要掌握FPGA开发知识? 5 第二章、FPGA基本知识与发展趋势 7 2.1 FPGA结构和工作原理 7 2.1.1 梦想成就伟业 7 2.1.2 FPGA结构 8 2.1.3 软核、硬核以及固核的概念 15 2.1.4 从可编程器件发展看FPGA未来趋势 15 第三章、FPGA主要供应商与产品 17 3.1.1 赛灵思主要产品介绍 17 第四章、FPGA开发基本流程 29 4.1 典型FPGA开发流程与注意事项 29 4.2 基于FPGA的SOC设计方法 32 基于 FPG
  3. 所属分类:硬件开发

    • 发布日期:2010-04-18
    • 文件大小:6291456
    • 提供者:allgarbage2
  1. Xilinx FPGA开发全攻略—工程师创新设计宝典 (基础篇)

  2. Xilinx FPGA开发全攻略—工程师创新设计宝典 (基础篇) 前言 2 第一章、为什么工程师要掌握FPGA开发知识? 5 第二章、FPGA基本知识与发展趋势 7 2.1 FPGA结构和工作原理 7 2.1.1 梦想成就伟业 7 2.1.2 FPGA结构 8 2.1.3 软核、硬核以及固核的概念 15 2.1.4 从可编程器件发展看FPGA未来趋势 15 第三章、FPGA主要供应商与产品 17 3.1.1 赛灵思主要产品介绍 17 第四章、FPGA开发基本流程 29 4.1 典型FPGA开发
  3. 所属分类:硬件开发

    • 发布日期:2010-05-31
    • 文件大小:5242880
    • 提供者:Hacker3269
  1. 硬件工程师手册156页的硬件开发参考

  2. 第一章 概述 3 第一节 硬件开发过程简介 3 §1.1.1 硬件开发的基本过程 4 §1.1.2 硬件开发的规范化 4 第二节 硬件工程师职责与基本技能 4 §1.2.1 硬件工程师职责 4 §1.2.1 硬件工程师基本素质与技术 5 第二章 硬件开发规范化管理 5 第一节 硬件开发流程 5 §3.1.1 硬件开发流程文件介绍 5 §3.2.2 硬件开发流程详解 6 第二节 硬件开发文档规范 9 §2.2.1 硬件开发文档规范文件介绍 9 §2.2.2 硬件开发文档编制规范详解 10 第三节
  3. 所属分类:硬件开发

    • 发布日期:2010-07-20
    • 文件大小:1048576
    • 提供者:duanlnn
  1. SOPC 系统设计入门教程

  2. SOPC ,系统设计入门教程 前言 目录 第一章 概述 1.1 SOPC 的概念 1.2 SOPC 系统设计流程 1.2.1 SOPC Builder 的设计流程 1.2.2 SOPC Builder 的设计阶段 1.2.3 SOPC 系统开发流程 1.3 SOPC 系统开发环境 1.4 本书中的系统配置 第二章 SOPC 系统构架 2. 1 系统模块框图 2. 2 Nios CPU 2.2.1 指令总线主端口 2.2.2 数据总线主端口 2.2.3 缓冲存储器 2.2.4 移位单元 2.2.
  3. 所属分类:iOS

    • 发布日期:2010-07-23
    • 文件大小:7340032
    • 提供者:y646635088
  1. 硬件工程师手册(附目录)

  2. 第一章 概述 3 第一节 硬件开发过程简介 3 §1.1.1 硬件开发的基本过程 4 §1.1.2 硬件开发的规范化 4 第二节 硬件工程师职责与基本技能 4 §1.2.1 硬件工程师职责 4 §1.2.1 硬件工程师基本素质与技术 5 第二章 硬件开发规范化管理 5 第一节 硬件开发流程 5 §3.1.1 硬件开发流程文件介绍 5 §3.2.2 硬件开发流程详解 6 第二节 硬件开发文档规范 9 §2.2.1 硬件开发文档规范文件介绍 9 §2.2.2 硬件开发文档编制规范详解 10 第三节
  3. 所属分类:硬件开发

    • 发布日期:2010-08-10
    • 文件大小:1048576
    • 提供者:mantou22
  1. FPGA开发全攻略_上

  2. FPGA开发全攻略— 工程师创新设计宝典 上册 基础篇 2009年2月 1.0版 前言 2 第一章、为什么工程师要掌握FPGA开发知识? 5 第二章、FPGA基本知识与发展趋势 7 2.1 FPGA结构和工作原理 7 2.1.1 梦想成就伟业 7 2.1.2 FPGA结构 8 2.1.3 软核、硬核以及固核的概念 15 2.1.4 从可编程器件发展看FPGA未来趋势 15 第三章、FPGA主要供应商与产品 17 3.1.1 赛灵思主要产品介绍 17 第四章、FPGA开发基本流程 29 4.1
  3. 所属分类:硬件开发

    • 发布日期:2010-10-31
    • 文件大小:6291456
    • 提供者:ppabcdqq
  1. 基于FPGA的车辆计费系统的设计与仿真实现

  2. 针对传统出租车计费系统硬件电路复杂、资源扩展有限,不利于系统整体功能升级的缺点,为研究更适应现实需要的计价器设计需求,采用FPGA技术的设计方法,提出了一种更适应生活需求的车辆计费系统,其中包括系统的硬件设计、软件设计以及系统仿真测试。该计费系统应用自顶而下的设计思想,以FPGA芯片CycloneⅣ4CE115微处理器为核心,完善外围电路并进行扩展,通过Atera公司的QuartusⅡ软件,利用verilog语言编程,调用Modelsim仿真工具对系统各个模块进行综合仿真验证,重点对测试代码te
  3. 所属分类:其它

    • 发布日期:2020-06-26
    • 文件大小:777216
    • 提供者:weixin_38648309
  1. 基于FPGA 的VGA 图形控制器的实现方法

  2. 引言VGA(视频图形阵列)作为一种标准的显示接口得到广泛的应用。利用FPGA芯片和EDA设计方法,可以因地制宜,根据用户的特定需要,设计出针对性强的VGA显示控制器,不仅能够大大降低成本,还可以满足生产实践中不断变化的用户需要,产品的升级换代方便迅速。在本设计中采用了Altera公司的EDA软件工具QuartusII,并以ACEX系列FPGA的器件为主实现硬件平台的设计。1基于FPGA的VGA图形控制器系统框图根据自顶向下的程序设计思想,采用模块化设计,我们对VGA图形控制器进行功能分离并按层次
  3. 所属分类:其它

    • 发布日期:2020-10-22
    • 文件大小:306176
    • 提供者:weixin_38513794
  1. 基于FPGA的MC-CDMA基带系统的实现

  2. MIMO技术、多载波技术与链路自适应技术是未来移动通信系统最值得关注的几种物理层技术。MIMO技术在提高系统频谱利用率方面性能卓越,多载波CDMA技术则能有效地对抗频率选择性衰落,将MIMO技术与MC-CDMA方案相结合,构成空域复用MC-CDMA系统,将在很大程度上提高系统的性能和容量,更有效地提高信息传输速率,完成基于FPGA的空域复用 MIMO MC一CDMA系统的基带信号处理平台的设计与实现的任务[1]。本文采用硬件仿真模型模拟MIMO信道的方法,实现了对系统的联合调试与功能验证,与软件
  3. 所属分类:其它

    • 发布日期:2020-10-25
    • 文件大小:162816
    • 提供者:weixin_38678550
  1. EDA/PLD中的FPGA硬件系统的调试方法

  2. 在调试FPGA电路时要遵循一定的原则和技巧,才能减少调试时间,避免误操作损坏电路。一般情况下,可以参考以下步骤进行FPGA硬件系统的调试。   (1)首先在焊接硬件电路时,只焊接电源部分。使用万用表进行测试,排除电源短路等情况后,上电测量电压是否正确。   (2)然后焊接FPGA及相关的下载电路。再次测量电源地之间是否有短路现象,上电测试电压是否正确,然后将手排除静电后触摸FPGA有无发烫现象。   如果此时出现短路,一般是去耦电容短路造成,所以在焊接时一般先不焊去耦电容。FPGA的管脚粘
  3. 所属分类:其它

    • 发布日期:2020-11-08
    • 文件大小:64512
    • 提供者:weixin_38692836
  1. 基础电子中的硬件系统调试的方法

  2. 本系统既含有FPGA自编程硬件设计电路,又含有单片机控制电路,整个系统比较复杂,因此我们采用自底向上的调试方法,也就是先进行各个单元电路的软件仿真和硬件调试,在各个单元电路调试好后再进行系统联调,最后进行硬件的编程固化及系统的组装。
  3. 所属分类:其它

    • 发布日期:2020-11-16
    • 文件大小:22528
    • 提供者:weixin_38694674
  1. EDA/PLD中的系统仿真/系统调试的方法

  2. 本系统既含有电压控制的La振荡源高频电路,又含有FPGA自编程硬件设计电路和单片机控制电路,整个系统非常复杂,因此我们采用自底向上的调试方法,也就是先进行各个单元电路的软件仿真和硬件调试,在各个单元电路调试好后再进行系统联调,最后进行硬件的编程固化及系统的组装。
  3. 所属分类:其它

    • 发布日期:2020-11-16
    • 文件大小:25600
    • 提供者:weixin_38556416
  1. 用于硅调试的在线定时错误检测方法

  2. 后硅阶段的错误检测和定位是现代IC行业中的关键问题。 尤其是,由于不确定的变化和电气错误而导致的定时错误,目前仍缺乏有效的调试方法。 为了应对这一挑战,我们提出了一种在线定时错误检测方法,该方法使用片上存储器通过在较低频率条件下运行测试程序来保持黄金执行轨迹,然后比较所存储的黄金轨迹。在指定测试条件下获取的运行时跟踪。 在跟踪比较过程中出现一些差异之后,就会确定时序错误。 这种自检查方法可以检测棘手的定时错误,而无需进行费时的软件仿真和跟踪转储,从而将检测过程加速了几个数量级。 此外,跟踪内部键
  3. 所属分类:其它

    • 发布日期:2021-03-17
    • 文件大小:342016
    • 提供者:weixin_38651468
  1. 系统仿真/系统调试的方法

  2. 本系统既含有电压控制的La振荡源高频电路,又含有FPGA自编程硬件设计电路和单片机控制电路,整个系统非常复杂,因此我们采用自底向上的调试方法,也就是先进行各个单元电路的软件仿真和硬件调试,在各个单元电路调试好后再进行系统联调,进行硬件的编程固化及系统的组装。   欢迎转载,信息来自维库电子市场网(www.dzsc.com)  :
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:24576
    • 提供者:weixin_38723242
  1. FPGA硬件系统的调试方法

  2. 在调试FPGA电路时要遵循一定的原则和技巧,才能减少调试时间,避免误操作损坏电路。一般情况下,可以参考以下步骤进行FPGA硬件系统的调试。   (1)首先在焊接硬件电路时,只焊接电源部分。使用万用表进行测试,排除电源短路等情况后,上电测量电压是否正确。   (2)然后焊接FPGA及相关的电路。再次测量电源地之间是否有短路现象,上电测试电压是否正确,然后将手排除静电后触摸FPGA有无发烫现象。   如果此时出现短路,一般是去耦电容短路造成,所以在焊接时一般先不焊去耦电容。FPGA的管脚粘连也
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:69632
    • 提供者:weixin_38738977
« 12 3 4 5 »